A faster route to better overlay Product lifecycle ... - ASML Holding

198 downloads 698 Views 5MB Size Report
D4C Overlay runs on any fab server cluster using the ... And full-wafer dedicated chuck overlay (DCO) ..... Our dedicate
ASML’s customer magazine | 2014

A faster route to better overlay Product lifecycle management: added-value across the industry Focus on a new star

6 4

10 A faster route to better overlay

images | Colofon

16 © 2014, ASML Holding BV ASML, ASM Lithography, TWINSCAN, PAS 5500,

6

EUV shows consistent performance

Editorial Board

PAS 5000, SA 5200, ATHENA, QUASAR, IRIS, ILIAS,

in the field

Lucas van Grinsven, Peter Jenkins

FOCAL, Micralign, Micrascan, 3DAlign, 2DStitching, 3DMetrology, Brion Technologies, LithoServer,

10 Product lifecycle management: added-value across the industry

Managing Editor

LithoGuide, Scattering Bars, LithoCruiser, Tachyon

Michael Pullen

2.0, Tachyon RDI, Tachyon LMC, Tachyon OPC+, LithoCool, AGILE, ImageTuner, EFESE, Feature Scan,

12 New nodes with your installed TWINSCAN NXTs

Contributing Editor

T-ReCS and the ASML logo are trademarks of ASML

Saskia Boeije

Holding N.V. or of affiliate companies. The trademarks may be used either alone or in combination with

16 Focus on a new star

Contributing Writers

a further product designation. Starlith, AERIAL,

Paul Tuffy, Jan-Willem van der Horst,

and AERIAL II are trademarks of Carl Zeiss. TEL is

Thomas van Wezel, Jules Tops,

a trademark of Tokyo Electron Limited. Sun, Sun

Janneke van Heteren, Remi Pieternella,

Microsystems, the Sun Logo, iForce, Solaris, and the

Stuart Young

Java logo are trademarks or registered trademarks of Sun Microsystems, Inc. in the United States and other

Circulation

countries. Bayon is a trademark of Kureha Chemical

Karen Lo, Michael Pullen, Saskia Boeije

Industry Co. Ltd. Nothing in this publication is intended to make representations with regard to whether any

For more information, please see:

trademark is registered or to suggest that any sign

www.asml.com/images

other than those mentioned should not be considered to be a trademark of ASML or of any third party. ASML lithography systems are Class 1 laser products.

2

ASML Images, 2014

Editor’s note

30 years down, 30 plus to go By Michael Pullen, Senior Communications Specialist

As 2014 comes to a close and we look

You will hear how ASML’s new Design

Last, but not least, you will read about

back on our 30 year history of providing

for Control (D4C) Overlay software

the latest YieldStar system, the 250D.

lithography solutions for the ever growing

is tackling the current industry

It is the first metrology system capable

and changing semiconductor industry,

requirements for overlay, focus control

of measuring overlay, focus and CD

it is amazing to see the challenges

and critical dimension uniformity (CDU)

in a production environment, helping

that we have overcome, and the

and will meet the future demands by

customers maximize their yields of good

accomplishments that we have made,

allowing customers to design and

wafers-per-day.

together as an industry. As we look to

optimize metrology targets that can be

coming years, there are obvious hurdles

used to deliver significant improvements

I hope you find this issue informative

and roadblocks that we must overcome

to overlay performance.

and of value. Please feel free to

to continue down the path set forth by Moore’s Law.

provide direct feedback to me at Another hurdle you will read about is

[email protected] so that we

the cost ineffectiveness of transitioning

can continue to improve the magazine

In this issue of Images Magazine,

from node to node and how ASML’s

and your experience.

we will take a look at how we, at ASML,

System Node Extension Packages

are preparing to clear those hurdles and

(SNEPs) are breaking through this by

Happy reading!

continue down the path for another

converting any TWINSCAN NXT system

Mike

30 plus years.

to a newer model in the field, effectively extending its capabilities another one or

Going back nearly to our start in 1984,

two production nodes.

the PAS 2500/5000 is still in use today at several customers. While its End

As you may have read recently, our EUV

of Service is approaching, the Mature

tools are meeting and exceeding the 500

Products Service team is redefining

wafer per day barrier, with one machine

the life cycle of all ASML products and

at IBM exposing 637 EUV wafers in a

mapping out four major lifecycle stages

single day! Additional advancements

that will increase transparency with

and improvements are being made to

customers and help them improve their

source power, availability and particle

own business planning in the future.

contamination.

3

A faster route to better overlay By Paul Tuffy, Product Manager BRION Wafer Fab Applications

Abstract | ASML’s new Design for Control

Fast, precise and accurate, ASML’s

the target design for a strong diffraction

Overlay software identifies the best

YieldStar diffraction-based metrology tool

signal. Moreover, the increased use of

metrology target design for any given layer

has made it possible to continually monitor

opaque materials in the latest technology

combination and process in the shortest

on-product overlay performance and

process stacks – such as the sacrificial

time. It allows customers to design and

provide faster feedback to the scanner.

layers used in spacer processes – make

optimize metrology targets that deliver

This is done through a feedback loop

target optimization for signal strength

the ideal balance of printability, detectability,

where overlay data from an in-track

doubly important.

accuracy and device matching. These targets

YieldStar module is converted into

can be used in an automated feedback

smart exposure corrections by system

loop to deliver significant improvements to

enhancement packages like Litho Insight.

on-product overlay performance.

These corrections are fed back to the scanner for subsequent wafers, resulting in improved on-product overlay performance.

Identify the best target designs in the shortest time

These overlay measurements are based on grating targets included in the reticle designs for the many overlay-sensitive

Design for Control

layer combinations in a product. The design

ASML’s new Design for Control Overlay

of these targets needs to fulfill certain

(D4C Overlay) software package helps

performance requirements. It must print

semiconductor manufacturers identify

well across the process window, and

the best metrology target designs in the

deliver an easily detectable diffraction

shortest time. It does this by simulating

signal to ensure precision and short

the lithography process and resulting

measurement times. It also needs to

YieldStar measurements of candidate

help ensure the measurements are

targets including the full layer stack,

accurate and not affected by variability

allowing the design parameters of the

in processing steps such as etching and

target for a given layer to be optimized.

chemical mechanical planarization (CMP). D4C Overlay transforms target

4

As design features shrink, more and more

optimization from a lengthy trial-and-

overlay targets are needed to capture

error process to a quick and reliable

the overlay fingerprint at the required

computational one. It avoids the need

level of detail. At the same time, placing

to carry out repeated wafer experiments

targets within the actual device becomes

on different test designs – significantly

advantageous as it allows more accurate

speeding up process development.

and higher-order corrections. This in-die

And it allows users to try out thousands

placement is only feasible with very small

more targets and fully explore the design

targets, making it important to optimize

space – enabling the development of

ASML Images, 2014 the final target design in a single reticle tape out cycle.

Design for Control Overlay optimizes YieldStar overlay targets for best on-product overlay performance

By identifying targets that balance

Printability

precision and accuracy, D4C Overlay

Detectability

• Mask optimization • Litho process window • Design rule compatibility

helps deliver significantly better onproduct overlay performance. What’s more, it can match the aberration

• Target selection to meet TMU/ MAM requirements • Including process variations for detectability robustness

D4C

sensitivity of the target to that of the critical device features being printed in

Accuracy: Device matching

the specified layer, enabling further

device

target

overlay gains. (See Fig. 1)

• Improved overlay due to improved aberration sensitivity matching (target to device)

Accuracy: Process robustness

∆SWA

• Target selection for robust and accurate overlay with lowest sensitivity to process induced asymmetry

Tailor-made targets Design for Control Overlay is a complete target optimization software package.

Fig. 1

Its easy-to-use graphical user interface guides the user through the target design process step by step. This includes a flexible interface for defining the process stack in the same way as it is built in the fab: adding etch, deposition, CMP and patterning steps to build each layer. This approach allows almost any stack design to be simulated. (See Fig. 2) Once the user has specified the process design rules and constraints, the software runs an initial simulation to identify target candidates that meet the printability and detectability specifications.

Fig. 2

The detectability, overlay accuracy and lens aberration performance of

D4C Overlay Target Design Flow

these candidates are then tested in a further round of simulations. An extensive set of built-in analysis features

Input

YieldStar detectability

Detectability robustness

Design input

(process flow)

Simulate detectability KPIs @ nominal

Simulate detectability robustness KPIs

Simultation settings

Filter out targets with poor detectability and printability

including 2D heat maps and 3D amplitudes simplifies the selection of optimal targets. Once the user has chosen a number of best

(YS & target patterns)

candidates for experimental validation, the D4C Overlay software outputs the

Litho model

complete reticle pattern for that layer in

(Tachyon FEM+)

the GDS format. (See Fig. 3) In-house design and optimization

Optional

Litho Printability Simulate printability KPIs

Overlay robustness

Target selection

Simulate overlay robustness KPIs

Select targets based on weighed KPIs

Aberration matching Simulate aberration sensitivity KPIs

Fig. 3

D4C Overlay runs on any fab server cluster using the Tachyon Flex platform.

target printability and detectability.

Together these systems deliver a

We offer extensive training and detailed

The full version including overlay

holistic solution to the current industry

user manuals on how to get the best

accuracy was launched in Q3 2014.

requirements for 5 nm overlay,

from the package. This allows companies

It is designed for use with ASML’s

60 nm focus control and 1.6 nm CDU

to keep target design and optimization

TWINSCAN NXT immersion ArF and

(after etch). Planned enhancements to

completely in house.

NXE extreme ultraviolet scanners in

all these products will support roadmaps

conjunction with YieldStar YS 200C or

towards 2017 that demand 2.5 nm overlay,

D4C Overlay was initially released in

YS 250D metrology tools and Litho Insight

50 nm focus control and 1.1 nm CDU

late 2013 in a version that focused on

overlay optimization software.

(after etch). 5

EUV shows consistent performance in the field By Jan-Willem van der Horst, Product Manager EUV

Abstract | ASML has qualified and shipped

EUV lithography is making continued

Excellence as standard

six TWINSCAN NXE:3300B extreme

progress towards maturity and production

These installed systems demonstrate

ultraviolet (EUV) lithography scanners.

insertion. Systems at customer sites are

that the NXE:3300B’s excellent imaging

With multiple systems exposing wafers

delivering consistent performance fit for

performance is repeated across multiple

at customer sites, the NXE:3300B

development of 10 nm logic and sub-20 nm

systems. In fact, the systems in the field

is demonstrating consistently good

DRAM products. Productivity levels are

often achieve performance levels in excess

performance. Meanwhile, enhancements

up – leading to a record number of EUV

of specifications – for example printing

to source power and availability are driving

wafer exposures in a day.

16 nm dense lines with large process

productivity gains. In addition, a joint

windows. Full wafer focus uniformity

research program with customers and

The TWINSCAN NXE:3300B is our

better than 12 nm is common, as is critical

materials suppliers is exploring a pellicle

third-generation EUV lithography system,

dimension uniformity (CDU) below 1.5 nm.

concept to protect EUV masks from

with a resolution specification of 22 nm.

particle contamination, and hence reduce

Six of these systems have been qualified at

printed defect levels.

our facility in Veldhoven, the Netherlands and shipped to customer sites. A further five are currently going through the qualification process.

6

Excellent imaging performance is repeated across multiple systems

ASML Images, 2014

X - axis Y - axis

Matched machine overlay [nm]

6

Systems feature the new MOPA-PP source

Lot (3.2,3.0)

4.5

configuration running

3

at 40 W

1.5 0

Overlay performance too is consistently

1

2

3

4

5

6

7

8

excellent. The six installed systems exhibit

Wafer – after std modelling

matched machine overlay (MMO) with

corrected using standard scanner model

our immersion scanners of around 5 nm or better. And full-wafer dedicated chuck overlay (DCO) below 1.4 nm has been

Fig. 1: MMO performance for various machines

achieved. (See Fig. 1) Customers had already shown that the

Logic 10nm Metal 1 layer: Wide Depth of Focus at 20 mJ/cm2

NXE:3300B is capable of printing real devices structures for the 10-nm logic node with an extended depth of focus (DoF). Now by combining the scanner’s off-axis illumination (OAI) capabilities

FOCUS: DoF 120nm

Quasar illumination¬ Dose ~20 mJ/cm2¬NXE OPC+ -80nm -60nm -40nm -20nm 0nm 20nm 40nm 60nm 80nm NXE:3300B, 10 nm logic Metal 1 layer, 45 nm pitch.

Clips courtesy of STMicroelectronics

with ASML-Brion’s advanced optical proximity correction (OPC), they have reproduced those results at much lower doses. For example, customers have printed 10-nm logic metal 1 layers with a 120 nm DoF at a dose of around 20 mJ/cm2 – both figures are within requirements for high-volume production. (See Fig. 2) Pushing up productivity The six installed NXE:3300B systems feature the new master oscillator

Fig. 2: 10-nm logic metal 1 layer

power amplifier pre-pulse (MOPA-PP) 7

In-situ collector cleaning¬

Effectiveness of product configuration confirmed

Field collector cleaned in NXE:3300 source vessel test rig

Start

End

Reflectivity,%

Reflectivity Al-05 (unpolarized) 55 50 45 40 35 30 25 20 15 10 5 0 50

100

150

200

After cleaning Brand new

250

300

350

Substrate radius, mm

Reflectivity restored within 0.8% of original Cleaning in off-line MOPA Prepulse development vessel

Start

End

Off-line cleaning using NXE:3300B source vessel with product configuration hardware

Fig. 3

Full-size EUV pellicle prototype manufactured

Pellicle Absorber pattern

Pellicle transmission requirement: 90% Current status: ~86%

Fig. 4

8

Mask

µm-size particles do not affect imaging

ASML Images, 2014

source configuration running at 40 W.

working with customers and materials

Complementing this increased source

suppliers in an ongoing joint research

power, we have developed a number of

program to explore pellicles for protecting

features to improve source availability.

EUV masks from particle contamination. Together these efforts aim to improve

Among these is a system for cleaning the

printed defect levels. (See Fig. 4)

collector mirror in-situ. The system can restore the collector’s reflectivity to almost

EUV lithography requires reflective rather

brand new, eliminating the need to take

than transmission masks. Hence pellicle

the system off line to replace the collector.

materials for EUV have higher single-pass

We’ve also shown that the source can be

transmission requirements than for DUV

run in a fully automated mode with good

lithography, as the EUV light must pass

dose control. This increases availability

through the pellicle twice. The year-long

while maintaining a 99.9% die yield.

research collaboration has developed a

(See Fig. 3)

new higher-transmission pellicle. Tests on half-size pellicles mounted on the reticle

As a result, productivity from our

have shown the new pellicle concept

EUV scanners has been steadily rising –

has little or no impact on CD or line

resulting in the recent announcement by

roughness. The pellicles have also shown

IBM of a new 1-day EUV wafer exposure

good robustness, surviving multiple

world record. In a test of the source,

exposures and extensive mechanical

they exposed 637 EUV wafers in a single

testing – including unusually rough

day. This test to verify the source’s power

handling – intact.

“Thank you for participating in our reader survey. We appreciate your input as it helps us improve the magazine. The Bose® SoundLink Mini Speaker is won by Sang Nyung Yoon of DuPont Electronics”

output and reliability was carried out with the scanner linked to a track, and using an exposure dose of 20 mJ/cm2 and conventional illumination. “The test was designed to check if the newly installed source was working

First full-size, free-standing pellicle prototype for EUV masks

correctly. Exposing so many wafers was an unexpected bonus – due to the source operating so well,” Dan Corliss, IBM’s EUV

The first full-size, free-standing pellicle

development program manager.

prototypes for EUV masks have been manufactured. Exposure tests using these

In the meantime, several customers have

prototypes are planned for later this year.

cleared the 500 wafers per day barrier. These achievements show we are on track

Enabling future shrink

to meet our previously stated end-of-year

Single-exposure EUV lithography enables

goal of 500 wafers per day as an average

aggressive feature shrink to drive the

and our 2016 goal of 1500 wafers per day

semiconductor industry forward over the

to support volume production.

coming years. The imaging performance reported from our NXE:3300B systems

Addressing the mask defect challenge

already exceeds requirements for the

With EUV lithography scanners becoming

10-nm 2D logic node. And ASML’s

more mature, ASML is also active in

technology roadmap extends the NXE

addressing ancillary issues related to

platform to beyond the 7 nm node. As the

EUV lithography wafer fabrication.

next step on that roadmap, integration

One example is mask front-side

and qualification of our fourth-generation

defectivity. In parallel to improving the

system – the TWINSCAN NXE:3350B –

system’s overall cleanliness, we are

has already begun.

www.asml.com/ImagesSurvey

9

Product lifecycle management: added-value across the industry By Thomas van Wezel, MPS Product Manager Product Life Cycle

Abstract | ASML is introducing a more

ASML is 30 years old this year.

This involves clearly defining the various

formalized approach to product lifestyle

In celebrating this anniversary, it is

stages of a product’s lifestyle and how

management. This involves mapping our

remarkable to note that one of the very

ASML supports machines in each of

systems to four major lifecycle stages

first machine types we developed is still

these stages. Then by communicating

defined by the level of support ASML offers.

in service today. Almost 30 years old

this information along with the relevant

Timelines for when each system type

itself, the PAS 2500/5000 is still being

timelines, including target dates for stage

transitions between stages are defined well

used in production at several customers.

transitions, we hope to create greater

in advance, and reviewed annually based on

Of course its role has changed: from the

transparency for both our customers and

market demands and customer feedback.

cutting edge of semiconductor production

our suppliers on exactly what they can

By communicating this information in a

to More-than-Moore applications.

expect from ASML. This will in turn help

timely fashion, we will increase transparency

simplify business planning.

for customers and suppliers – helping them

However, all good things come to an end.

improve their own business planning.

And the PAS 2500/5000 will soon become

Defining the lifecycle

the first ASML scanner to officially come

To start this process, we have divided

to its End of Service (EoS), with ASML

the product lifecycle into four stages

no longer providing support. With this

based on the level of service we offer.

milestone approaching, ASML is also

We call these stages Regular Service,

launching a more formalized approach

Extended Service, Limited Service and

to product lifecycle management.

End of Service.

10

ASML Images, 2014 based on business potential and customer feedback on a yearly basis. New

Benefits throughout the chain Refurbishment

7 years

Product lifecycle management is

Extended Service

Limited Service

End of Service

Economic lifetime extension for ASML and customer

Controlled Exit (typically 3 years)

Possible Transfer to 3rd party

Regular Service

something we have always done within ASML. But by formalizing it in this way, we believe we can bring benefits for everyone. For example, by considering the lifecycle stages and end of life issues more rigorously in our design process,

time

we can help extend the productive life of new systems. Fig. 1: Product lifestyle stages

Meanwhile, by communicating the transitions between lifecycle stages,

Easier for customers and suppliers to plan their business

we make it easier for customers and suppliers to plan their business and investment in equipment. Our aim is to share these timelines as early as possible

In the Regular Service stage we provide

for all types. Although the transition to

– particularly for the transition from

our highest level of support for new

Extended Service is always seven years

Extended to Limited service and from

and recently refurbished machines to

after manufacturing stops, some system

Limited service to End of Service – and

maximize system availability and enhance

types may be manufactured for longer

at least one year ahead of the transition.

performance. We offer full availability

than others. This could depend on the

This will give everyone time to factor the

of parts and a range of service level

system’s popularity and intended role.

information into their business decisions.

options to suit different semiconductor

For example, a pre-production system like

manufacturers’ needs.

the TWINSCAN NXE:3100 would come out

Hence customers will be aware just how

of manufacturing earlier than a production

long ASML will be supporting the systems

Around seven years after we finish

workhorse like the TWINSCAN XT:1900i.

installed at their facility, and can decide

manufacturing a machine type, it will

Similarly, customer demand for and usage

the best time to migrate to new tools

transition to the Extended Service stage.

of refurbished systems plays a role in

based on the service available, resale

Here again, we offer full parts availability

deciding when a system type transitions

potential, etc. If they are considering

and a range of service level options.

to Limited Service or EoS.

buying a remarketed ASML system,

But now the focus is more on extending the economic lifetime of systems.

they will be able to confirm how long the Hence, a key part of our product lifecycle

system will be supported before they

approach is deciding well in advance when

finalize the deal.

At some point after that, the system type

each system type will transition between

moves into the Limited Service stage

stages and communicating that in a timely

Suppliers will be better able to predict

where we start to ramp down the support

fashion to both customers and suppliers.

the demand for their products as they

we offer. Spare part availability isn’t guaranteed and service is supplied on a best effort basis. Finally, the system type moves to End

will know ahead of time when we will

Extend the productive life of new systems

of Service, where ASML stops providing

be manufacturing, refurbishing and supplying spare parts for each system type. This allows them to plan capacity, staffing levels and equipment requirements with more confidence.

support altogether. However, systems may continue to provide an economically

Of course, these timelines aren’t

Given the long lifetimes of our systems,

viable production facility – typically in

something that we dictate and set in

this long-term planning of the entire

niche applications – and we will endeavor

stone. Transition dates are reviewed

lifecycle will bring value for all parties

to help customers find alternative service

based on feedback from customers and

involved in the semiconductor industry

solutions where possible. (See Fig. 1)

suppliers. For example, we have already

and associated businesses.

decided to prolong the PAS 5500’s Communicating timelines

Extended Service period by four years

Details of product lifecycle stages and

The timeline for when a system type

to 2022. We will continue to review and

transition timelines are available via

moves from stage to stage is not the same

update our product lifecycle timelines

ASML’s Account Managers. 11

New nodes with your TWINSCAN NXTs By Jules Tops, SNEP Project Cluster Manager DUV, and Janneke van Heteren, SNEP Product Marketing Manager

Abstract | ASML’s System Node Extension Packages (SNEPs) allow any TWINSCAN NXT system to be converted into a newer model in the field. For example, the SNEP:A2C and SNEP:B2C respectively transform NXT:1950i and NXT:1960Bi systems into an NXT:1970Ci. SNEPs allow semiconductor manufacturers to extend their installed NXT systems for volume production at new nodes. This helps them transition from node to node in a cost effective manner, always having the latest lithography system while managing their capital expenditure.

12

ASML Images, 2014

installed Everyone likes getting value for their

its capabilities by one or two production

money. And at ASML, we want to make

nodes. The transformed system meets the

sure we deliver the maximum value for

full ATP specification for the new model,

our customers. That’s why our TWINSCAN

and comes complete with a standard

scanners have always been built using

ASML warranty running from the date

a highly modular architecture that allows

of the upgrade. In effect, manufacturers

systems to be upgraded in the field with

gain all the benefits of buying a brand

new options to improve productivity and

new system, without the hassle of

performance. This allows semiconductor

de-commissioning an installed tool.

manufacturers to buy new systems in configurations tailored to their needs

Our SNEP strategy gives semiconductor

at that time, safe in the knowledge

manufacturers the maximum flexibility in

that the tool can be adapted as their

planning their investment in lithography

needs change.

equipment. You can buy an NXT system

Any installed NXT system can be converted to a newer model in the field Now with the TWINSCAN NXT platform,

for high-volume manufacturing at one

we are taking that approach a step

node and then upgrade it when you are

further. Through System Node Extension

ready to transition to the next node.

Packages (SNEPs), any installed NXT

This avoids the need to invest in brand

system can effectively be converted to

new systems each time you move to a

a newer model in the field – extending

new production node.

13

A completely new system

immersion hood. Where necessary,

Following this learning period, the first SNEP

Two SNEPs are available: the SNEP:A2C

the projection lens may also be replaced

upgrade projects at customer sites were

and SNEP:B2C. These transform

with a newer model.

carried out earlier this year. These systems

NXT:1950i and NXT:1960Bi systems

are now operating in production and in spec,

respectively into our latest scanner,

Once all the necessary hardware and

allowing the customers to move into volume

the NXT:1970Ci. This represents a

software is upgraded, the team qualifies

production at a new node.

one-node extension for the NXT:1960Bi and

all the modules and then the system

a two-node extension for the NXT:1950i.

as whole before carrying out the ATP

An ongoing roadmap for value

(See Fig. 1)

specification. The final system has all

The SNEP:A2C and SNEP:B2C are just

the features and performance of a

the first steps in our roadmap for node

straight-from-the-factory NXT:1970Ci

extension. As each new TWINSCAN NXT

(see box), backed up by our standard

system is released, we will also be making

12-month new system warranty.

available packages for transforming installed

Extending its capabilities by one or two production nodes

NXT systems into the very latest model. A flexible process

In this way, we aim to give semiconductor

Our dedicated SNEP team has already

manufacturers the ability to transition from

carried out a number of system upgrades

node to node in the most cost-effective way

at ASML facilities around the world.

possible – ensuring they always have the

Upgrades are carried out by a dedicated

This has allowed us to optimize the

capabilities needed for profitable volume

SNEP team, in cooperation with our

upgrade procedure to maximize flexibility

manufacturing of advanced products as

local customer support personnel.

and minimize downtime. For example,

well as the freedom to control their capital

Each upgrade is tailored to a specific

by performing jobs in parallel wherever

expenditure and investment in new equipment.

tool via the tool number. The exact

possible, we reduce the time taken for

sub-systems to be upgraded are

the upgrade. This also affords us some

determined based on the system’s

freedom in the order in which tasks are

current configuration. But typically the

carried out to reduce the impact of any

upgrade includes replacing the wafer

unexpected delays. Moreover, the team

handler, stage and table, and installing

is able to adjust the upgrade process

the new ultra-violet level sensor (UV-LS),

according to the space available around the

parallel ILIAS (PARIS) sensor and CO2

system, reducing risk.

B2C path A2C path NXT:1970Ci

Performance

Snep = System Node Extension Package NXT:1960Bi 1951 lens

NXT:1960Bi 1952 lens

NXT:1950i + PEP NXT:1950i 1950/1951 lens 1950/1951 lens

Node N

SNEP: A2C (+ 2 nodes)

Node N+1

Node N+2

Fig. 1: SNEP is a System Node Extension Package: New hardware and software installed during a field transformation will bring the NXT:1950i or NXT:1960Bi to the newest node. (NXT:1970Ci specs)

14

NXT:1960Bi systems into an NXT:1970Ci

TWINSCAN NXT:1970Ci specifications

NXT configurations in the field and transformation paths

SNEP:B2C (+1 node)

Transform NXT:1950i and

Full-wafer dedicated chuck overlay

2.0 nm

Full-wafer matched machine overlay

3.5 nm

Full-wafer focus uniformity

20 nm

Full-wafer CDU (isolated features)

1.3 nm

Full-field throughput (96 shots)

250 wph

Defects

< 7 per wafer

ASML Images, 2014

The TWINSCAN NXT:1970Ci By Remi Pieternella, Senior Product Manager

The latest model in our TWINSCAN NXT

Latest data on the NXT:1970Ci’s focus,

overlay and defectivity performance, showing consistently within spec

Matched Machine Overlay Single Machine Overlay

immersion lithography platform, the NXT:1970Ci targets profitable

5

Overlay performance [nm]

high-throughput, high-precision ArF

4,5

volume production at the 1x nodes.

4 3,5

Compared to previous systems,

3

the NXT:1970Ci includes a new

2,5 2

multifunction parallel ILIAS (PARIS)

1,5

sensor for measuring the effects of

1

lens and reticle heating. Together with

0,5

a multi-sector wafer table heater,

0

Systems

this enables better overlay performance. In addition, a brand-new ultraviolet level sensor (UV-LS) improves focus control and reduces process dependency during leveling. A new high bandwidth wafer stage enables faster wafer exchange for

Latest data on the progression of the NXT:1970Ci’s availability and reliability performance

thermal control to improve overlay and

25,0

Overlay performance [nm]

higher throughput, and features enhanced imaging performance. Meanwhile, a new immersion hood with a carbon dioxide

20,0

“gas knife” reduces defect levels and

15,0

allows scan speeds up to 800 mm/s.

10,0

Around fifty NXT:1970Ci systems have been shipped to customers and are

5,0

operating within specifications. A number of these systems are being used in

0,0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36

Systems

high-volume production, where they are exposing over 4000 wafers per day (averaged over a week). The average availability for these systems is around 95%, and mean time between failures continues to rise thanks to continuous software improvements.

15

Focus on a new star By Stuart Young, Product Manager YieldStar

16

ASML Images, 2014

Abstract | ASML has shipped several of its new YieldStar 250D systems.

First metrology system capable of measuring overlay,

This next-generation metrology tool targets

focus and CD in a production environment

the 10-nm logic and 1X-nm memory nodes. It is the first metrology system capable of performing simultaneous on-product overlay, focus and CD measurements

ASML has launched the latest member

Together with ASML’s Design for Control

at a speed and quality that allows

of its YieldStar diffraction-based

(D4C) metrology targets (see page 4),

real-time feedback for scanner control.

metrology family. The YieldStar 250D

this enabled a significant step forward

This helps semiconductor manufacturers

is a next-generation metrology tool,

in accuracy, precision and speed for

maximize their yields of good wafers-

targeting production at the 10-nm logic

FEOL, MOL and BEOL layers compared

per-day. A roadmap of software-only

and 1X-nm memory nodes. It offers even

to traditional image-based overlay (IBO)

system enhancement packages will deliver

better accuracy and precision than its

metrology systems. (See Fig. 1)

continued in-the-field enhancements to the

predecessors, and reduces the time taken

new system’s performance and capabilities.

for an individual measurement by around

YieldStar systems are available in

30%. This allows customers to measure

two configurations that are identical

overlay, focus and CD on product wafers

in design: a traditional standalone tool

– while maintaining the same high wafer

and system integrated into the wafer

throughput as their TWINSCAN scanner.

track. The integrated systems use less fab space and reduce lithography

Building on a solid platform

cluster cycle time as the metrology can

The new system builds on the success

be carried out in the lithography cluster

of previous YieldStar family members.

without overhead. They also allow real-

YieldStar was the first metrology system

time metrology feedback to the scanner.

to deliver small-target diffraction-based

Hence for scanners with the right options

overlay (µDBO) measurements.

installed, data from each lot can be

Y-overlay

y = 0.9178x - 0.8156 R2 = 0.9393

Device overlay measured by CD-SEM after etch

Yieldstar µDBO measurement ADI

Yieldstar µDBO measurement ADI

X-overlay

y = 0.9452x - 0.1708 R2 = 0.969

Device overlay measured by CD-SEM after etch

Fig. 1: Yieldstar DBO overlay measurements show excellent correlation to real device overlay

17

used to control the scanner and

YieldStar 200C has been replaced with

the YieldStar 250D means users can

optimize exposure for subsequent lots.

a new source that delivers substantially

now also measure on-product imaging

This improves both individual scanner

more light and improved illumination

performance – specifically focus and CD.

performance and machine matching.

characteristics. Secondly, the optical path

This makes the YieldStar 250D the first

from source to wafer has been improved

metrology system capable of measuring

These unique capabilities have seen

to increase transmission and extend the

overlay, focus and CD in a production

YieldStar become the metrology tool

range of transmitted wavelengths.

environment.

(HVM) fabs around the world.

The more powerful source and improved

YieldStar’s unique diffraction based-

Following on from a very successful

transmission increase the total amount of

focus (DBF) measurement directly and

year in 2013, we expect the number

light at the wafer level by up to 50 times.

accurately measures on-product focus

of installed systems to double in 2014.

As a result, the specified move-acquire-

across the entire process window. Just as

Over that time, shipments of integrated

measure (MAM) time is just 0.35 seconds

for overlay, YieldStar focus measurements

systems have grown rapidly as more

(compared to 0.5 seconds for the

can be used as the basis for calculating

manufacturers have seen the value of

YieldStar 200C). Hence the YieldStar 250D

and applying real-time corrections via

real-time, data-based scanner control,

is capable of 1200-1600 measurements

ASML’s Imaging Optimizer scanner option.

and have now become around half of the

per lot at the full production throughput of

For focus critical features, these exposure

total number of YieldStar shipments.

ASML’s latest TWINSCAN NXT scanners.

corrections have demonstrated on-product

Tests on product wafers from logic and

CDU improvements of around 15%.

memory customers have shown that

(See Fig. 4)

of choice in high-volume manufacturing

On-product CDU improvements of around 15%

the YieldStar 250D is consistently over 30% faster than the YieldStar 200C.

The YieldStar 250D also supports CD

Improvements are even more pronounced

measurements as an option.

for more challenging layers – with gains

CD measurement capabilities have

above 80% in some cases. (See Fig. 3)

been extended, with a particular emphasis on reducing the time required to create

Supporting advanced chip structures

recipes. This allows semiconductor

The installed YieldStar systems have

Meanwhile, the extended wavelength

manufacturers to measure overlay,

proven to be excellent performers

range allows the new system to support

focus and CD simultaneously with

in fabs. They have, for example,

3D chip features such as FINFETs and

no loss of productivity.

helped semiconductor manufacturers

3D-NAND structures. These structures

successfully match on-product

require thicker process stacks leading

Facing the future

overlay across their installed base of

to lower light transmission which makes

The YieldStar 250D is part of ASML’s

scanners. YieldStar systems have also

metrology more challenging. The YieldStar

ongoing holistic lithography roadmap,

demonstrated impressive reliability,

250D uses sensing wavelengths up to

with future systems planned to keep

with availability above 99% and 13-week

780 nm to increase transmission through

metrology performance on pace with

mean time between interrupt (MTBI)

the stack. Hence, it can deliver fast,

scanner capabilities. Just like our

figures of over 1000 hours. (See Fig. 2)

accurate metrology on FINFET devices

scanner platforms, this roadmap is built

at the 10 nm logic node as well as

on a philosophy of continuous system

3D-NAND structures.

enhancement. All installed YieldStar 200C

Shedding more light on metrology The YieldStar 250D is based on the

systems can be fully upgraded to the new

same fundamental platform as its

More focus on focus

YieldStar 250D in the field. Several field

successful predecessor the YieldStar

Prior to the YieldStar 250D, scanner control

upgrades have already been successfully

200C. But it takes the YieldStar benefits

based on metrology feedback focused

performed, and customer demand for

to the next level by dramatically

on optimizing on-product overlay

such upgrades remains strong. When the

increasing the amount of light reaching

performance. Of course, overlay isn’t

time comes the YieldStar 250D will be

the wafer. It does this in two ways.

the only performance metric that affects

field-upgradeable to subsequent models.

First, the xenon arc lamp used in the

wafer yield. The increased speed of

18

ASML Images, 2014

Improvements aren’t limited to just

MTBI of Yieldstar machines

developing new systems. Our YieldStar roadmap also includes regular,

Median Reliability

1400

packages to extend the capabilities and

1200

economic lifetime of installed systems.

1000 800

significant usability improvements for

600

MTBI [hours]

These packages allow us to make both R&D engineers and HVM users, and to introduce new functionality. Furthermore, these enhancement

400 200 0

packages are used to deliver increased sampling, accuracy and precision

201314 201316 201318 201320 201322 201324 201326 201328 201330 201332 201334 201336 201338 201340 201342 201344 201346 201348 201350 201352 201402 201404 201406 201408 201410 201412 201414 201416 201418 201420 201422 201424 201426 201428 201430 201432

software-only system enhancement

performance. In this way, we help ensure that all customers have the latest

Fig. 2

metrology capabilities available in a timely and robust fashion.

200C MAM 250D MAM

1 0,9 0,8 0,7 0,6 0,5 0,4 0,3 0,2 0,1 0 14nm 14nm 14nm 14nm 14nm 16nm 16nm 16nm 16nm 16nm 16nm 16nm 16nm 16nm 16nm 16nm 16nm 16nm 10nm 10nm 10nm 10nm 10nm 10nm 10nm 10nm 10nm D1x D2x D2x D2x D2x D2x D2x D2x D2x D2x 14nm 14nm 14nm

Move-Acquire-Measure time [sec]

MAM time data

Node

Fig. 3: The 250D delivers more than 30% increase in sampling

Uncorrected focus uniformity

Measured focus uniformity with focus offset & tilt corrections applied shows 20% improvements

Simulated improvement with 1) focus offset correction 2) focus offset and tilt correction

21.5

20.2

20.4

21.2

20.8

21.2

21.2

20.9

15

21

20

20.2

25 Focus 3σ (nm)

22.0 19.8

10

1

2

3

4

5 6 Slot #

7

8

9

Ave

10 5

5 0

30

25.7

25.7 22.2 20.2

25.6 23.2 20.7

26.9 23.3 21.0

24.1 20.2 18.0

25.1

26.3

26.2 21.8 19.5

15

22.0 19.2

20

21.4 19.6

Focus 3σ (nm)

25

Focus Uniformity (interfield) 3σ (nm)

Interfield Focus 3σ (nm) Simulated Z per field correction potential 3σ (nm) Interfield Focus 3σ (nm)

30

2

4

6

7 8 Slot #

9

10

Ave

0

Fig. 4: Yieldstar on product focus measurement together with Imaging Optimizer delivers 20% on-product focus uniformity improvement

19

www.asml.com Corporate Headquarters De Run 6501 5504 DR Veldhoven The Netherlands Phone +31 40 268 30 00

United States 2650 W. Geronimo Place Chandler, AZ 85224 USA Phone +1-480-696-2888

Asia Suite 1702-3 17th Floor 100 Queen’s Road Central Hong Kong, SAR Phone +852 2295 1168