Abstract Book & Schedule Platinum Sponsor
Gold Sponsor
Silver Sponsors
IVNC 2013 General Information 26th International Vacuum Nanoelectronics Conference July 8-12, 2013, Roanoke, Virginia Program Committee Chair Jonathan Shaw (Chair) E-mail:
[email protected] Co-Chairs Kevin Jensen E-mail:
[email protected] Joan Yater E-mail:
[email protected] International Steering Committee Chair: Heinz Busta(Prairie Prototytpes and University of Illinois at Chicago, USA)
Mikio Takai (Osaka University, Japan Akintunde I. Akinwande (MIT, USA) Christopher Holland (SRI International, USA) Charles Hunt (University of California Davis, USA) Capp A. Spindt (SRI International, USA) Jonathan Shaw (Naval Research Laboratory, USA) (Treasurer) Vu Tien Binh (University Claude Bernard, France) Ken Shoulders (Ukiah, CA, USA) Jan A. Dziuban (Wroclaw University of Technology, Poland) Hans Koops (HaWilKo PSS, Germany) Günter Müller (University of Wuppertal, Germany) Jong Min Kim (Hugh's College Oxford, UK) Hidenori Mimura (Shizuoka University, Japan) Soichiro Okuda (SONAC Inc., Japan) Ningsheng Xu (Sun Yat-sen University, China) Soichiro Tsujino(Paul Scherrer Institute, Switzerland) (Secretary) Cheol Jin Lee (Korea University, Korea)
Conference Office Della Miller/Heather Korff AVS, 110 Yellowstone Dr., Suite 120 Chico, CA 95973 E-mail:
[email protected] /
[email protected] Phone: 530-896-0477
Conference Website www.ivnc2013.org
IVNC 2013 Sponsors
Platinum Sponsor
Gold Sponsor
Silver Sponsors
Monday Dinner Silver Coffee
26th International Vacuum Nanoelectronics Conference, Roanoke, VA, July 8-12, 2013 Tuesday, July 9 7:00 AM Plenary 8:00 AM 8:10 AM 8:50 AM
Registration Session Chair: Koops Welcome INVITED: Advances In Vacuum Electronics At DARPA High Average Power Field Emitter Cathode and Testbed For X/Ku-Band Cold Cathode TWT 9:10 AM INVITED: Ultrafast field emission electron bunch generation: can field emitter arrays surpass state-ofthe-art photocathodes? 9:50 AM Break Optically Stimulated Session Chair: Montgomery Emission 10:10 AM Nanostructured Silicon Photo-cathodes for X-ray Generation 10:30 AM Optically Actuated Nanostructured Electron-emitter Arrays 10:50 AM Non-linear Photoemission from Carbon Nanotube Arrays 11:10 AM Photo-assisted Field Emission and Current Noise Analysis from Single Submicron CdS Wire 11:30 PM 11:50 PM 12:10 PM Sp2 Carbon I 1:30 PM 1:50 PM 2:10 PM 2:30 PM 2:50 PM 3:10 PM
page 1
J. Shaw, NRL D. Palmer, DARPA D. Whaley, R. Duggal, C. Armstrong, L-3, C. Holland, C. Spindt, D. Thibert, SRI S. Tsujino, Paul Scherrer Insitute
M.E. Swanwick, P.E. Keathley, F.X. Kartner, L.F. Velasquez-Garcia, Massachusetts Institute of Technology R. Hobbs, Y. Yang, P.D. Keathley, W.S. Graves, F.X. Kaertner, M.E. Swanwick, L.F. Velasquez-garcia, K.K. Berggren, Massachusetts Institute of Technology M.V. Moghadda M P. Yaghoobi, G. Sawatzky, A. Nojeh, University of British Columbia D.S. Joag, University of Pune, P.G. Chavan, North Maharashtra University, R.V. Kashid, University of Pune, S.S. Bhadade, National Chemical Laboratory, I.S. Mulla, Center for Materials for Electronics Technology, M.A. More, University of Pune High Speed Photo-Modulated Spindt Cathode for FELs C. Holland, P. Schwoebel, K. Todd, C. Spindt, SRI, T. Smith, Stanford University Innovative, High-Performance, Electron Source Using S. Chou, Yixing Liang, Weihua Zhang, Yuxuan Wang, Princeton University Plasmonic Nanostructures and Other Nanotechnology for Miniaturized Integrated X-Ray SysteM Lunch Session Chair: Dziuban CNT Cold Cathode with Side-gate Electrode for Flat S. Nitta, S. Okawaki, S. Abo, F. Wakaya, M. Takai, Osaka University Panel X-ray Source Design, Fabrication, and Test Results for Linear Arrays L. Fredin, R. Hellmer, Stellar Micro Devices, Inc, M. Eaton, L. Webber, Y. of Nano Layered Carbon (NLC) Edge Emitters Mirgorodsky, Stellar Micro Devices, Inc. Stable, High Current Density Carbon Nanotube Field D.J. Smith, P. Bui, J.D. Michael, S.C. Aceto, K. Marquard, J.E. Brewer, General Emission Devices Electric Field Emission of Patterned MWCNT and SWCNT / S.F. Tedde, D. Leberl, Siemens AG - Corporate Technology, R. Ummethala, A. Graphene Hybrid Samples Leonhardt, Leibniz Institute for Solid State and Materials Research, H. Kapitza, Siemens AG - Corporate Technology High Field Emission Current Density from Structured P. Serbun, G. Muller, University of Wuppertal, A. Tymoshchyk, I. Kashko, B. CNT Based Cathodes Shulitski, Belarusian State University of Informatics and Radioelectronics Break and Poster Viewing
26th International Vacuum Nanoelectronics Conference, Roanoke, VA, July 8-12, 2013 Wednesday, July 10 Field Emission Session Chair: Takai Theory I 8:00 AM INVITED: Progress with Developing Theory for Fowlernordheim-plot Interpretation 8:30 AM Electrical Characteristics of Non-linear-barrier Field Emission: A Fowler-nordheim-like Equation to Be Used with Experimental Data 9:00 AM Thermal Field Emission from a Log-normal Distribution: Impact on Space Charge and Emittance 9:30 AM Elementary Framework for Cold Field Emission from Quantum-confined Metal Emitters 10:00 AM Break Sp2 Carbon II Session Chair: Mimura 10:20 AM Experimental Study Of The Electron Field Emission And An Explosive Field Emission From Graphene And Graphene-like Structures 10:40 AM High Emission Current from CNT Point Emitters Using a Free Standing CNT FilM 11:00 AM Field Emission from Graphene Supported on Metal Nanotip Arrays 11:20 AM Effect of 6 MeV Electron Beam Irradiation on the Field Emission Current Noise Behavior of Graphene 11:40 AM 12:00 PM Novel Materials 1:50 PM 2:10 PM 2:30 PM 2:50 PM 3:10 PM
Synthesis and Electron Field Emission from Vertically Aligned Carbon Nanotubes on Stainless Steel Substrate Lunch Session Chair: Kyritsakis Field Emission from Surface Textured Extraction Facets of Gan Light Emitting Diodes HfC(310) High Brightness Sources for Advanced Imaging Applications Fabrication of Titanium Oxide Field Emitter Array on Glass Substrate Enhancement of Field Emission of Cupric Oxide Nanowires Induced by Low-energy Ar Ion Bombardment Break and Tutorial/Discussion
R.G. Forbes, J.H.B. Deane, University of Surrey A. Kyritsakis, J.P. Xanthakis, National Technical University of Athens K.L. Jensen, Naval Research Laboratory, J. Petillo, D.N. Panagos, SAIC A.A. Patterson, A.I. Akinwande, Massachusetts Institute of Technology
G. Fursey, M. Polyakov, The Bonch-Bruevich Saint-Petersburg State University of Telecommunications, V. Bogevolnov, Saint Petersburg State University, G. Muller D.H. Shin, K.N. Yun, Y.M. Hwang, Y. Sun, Y. Song, Korea University, Y. Song, Electronics and Telecommunications Research Institute, C.J. Lee, Korea University D. Ye, Virginia Commonwealth University R.V. Kashid, University of Pune, L.S. Panchakarla, Jawaharlal Nehru Centre for Advanced Scientific Research, S.D. Dhole, D.S. Joag, M.A. More, University of Pune S. Neupane, W. Li, Florida International University
R. Schreiner, C. Langer, C. Prommesberger, Regensburg University of Applied Sciences, S. Mingels, P. Serbun, G. Muller, University of Wuppertal W.A. Mackie, J.M. Lovell, T.W. Curtis, G.G. Magera, Applied Physics Technologies T. Nakatani, S. Abo, F. Wakaya, M. Takai, Osaka University X.M. Song, J. Chen, Sun Yat-Sen University
page 2
26th International Vacuum Nanoelectronics Conference, Roanoke, VA, July 8-12, 2013 Thursday, July 11 Emission from Group IV Materials 8:00 AM 8:20 AM 8:40 AM 9:00 AM 9:20 AM 9:40 AM 10:00 AM Field Emission Theory II 10:20 AM
page 3
Session Chair: Holland Giant Current Density and 'Anomalous Electron Transport' Observed at Room Temperature with Nanogranular Materials Self-aligned, Gated Field Emitter Arrays with Integrated High-aspect-ratio Current Limiters Doped Diamond Thin Film Electron Sources for Thermionic Energy Conversion Photo Induced Electron Emission from Nitrogen Doped Diamond Films on Silicon A Monolithic Multi-finger Nanodiamond Lateral Vacuum Microtriode Cold Cathode Array Coated with Cubic Boron Nitride Break Session Chair: Nojeh
Experimental Evidence of Scale Invariance in Diodelike Tunnel Junction 10:40 AM Scaling Properties of a Non-fowler-nordheim Tunneling Junction 10:50 AM Improved Field Emission Algorithms for Modeling Field Emission Devices Using a Conformal Finite-difference Time-domain Particle-in-cell Method 11:10 AM Conceptual Error in the Definition of the Term Voltage in the International Standard for Electromagnetis and Related Implications for the Theory of Patch Fields and Electron Emission 7/5/13 11:30 AM Lunch Alkali Metals Session Chair: Yater 1:30 PM Barium De-wetting and Thermionic Emission from Ba on W 1:50 PM Progress in Self-healing Photoelectron Sources: The CPR Dispenser Photocathode Vacuum Technology Session Chair: Yater 2:10 PM High Vacuum Micropump for Miniature Nanoelectronics Devices 2:30 PM A Mems-based Resistive Vacuum Gauge with Voltage Readout 2:50 PM Break and Poster Viewing 7:00 PM Banquet and Awards
H.W.P. Koops, HaWilKo GmbH Ober-Ramstadt S.A. Guerrera, A.I. Akinwande, Massachusetts Institute of Technology F.A. Koeck, R.J. Nemanich, Arizona State University T. Sun, F.AM Koeck, A. Rezikyan, M.M.J. Treacy, R.J. Nemanich, Arizona State University N. Ghosh, W.P. Kang, S.H. Hsu, S. Raina, Vanderbilt University M. Kobayashi, T. Ono, Tohoku University
H. Cabrera, D.A. Zanin, L.G.D. Pietro, T. Michaels, P. Thalmann, U. Ramsperger, A. Vindigni, D. Pescia, ETH Zurich A. Kyritsakis, J.P. Xanthakis, National Technical University of Athens, D. Pescia, ETH Zurich M.C. Lin, J. Loverich, P.H. Stoltz, C. Nieter, Tech-X Corporation R.G. Forbes, University of Surrey
M.E. Kordesch, C. Wan, Ohio University E.J. Montgomery, B.C. Riddick, University of Maryland, College Park, R.L. Ives, L.R. Falce, Calabazas Creek Research, Inc. T. Grzebyk, A. Gorecka-drzazga, J.A. Dziuban, Wrocraw University of Technology H. Busta, University of Illinois at Chicago
26th International Vacuum Nanoelectronics Conference, Roanoke, VA, July 8-12, 2013 Friday, July 12 7/5/13 7:45 AM 8:00 AM 8:20 AM 8:40 AM
9:00 AM 9:20 AM
9:40 AM 10:00 AM Sp2 Carbon III 10:20 AM 11:00 AM 11:20 AM 11:40 AM 12:00 PM
Devices Effect of Electron Focusing in X-ray Source Using LiTaO3 Crystal Excited by Nd:YLF Laser Light Stationary X-ray Sources for Medical Tomographic Imaging A-Se Based Wide Wave-range Photodetector Driven by Diamond Cold Cathode
Session Chair: Mackie K. Nakahama, M. Takahashi, S. Abo, F. Wakaya, M. Takai, Osaka University P. Schwoebel, University of New Mexico, Holland
T. Masuzawa, M. Onishi, I. Saito, International Christian University, T. Yamada, National Institute of Advanced Industrial Science and Technology, S. Ogawa, Y. Takakuwa, Tohoku University, A.T.T. Koh, D.H.C. Chua, National University of Singapore, T. Nakamura, Y. Iwai, Onizuka Glass Corporation, Y. Mori, Osaka University, T. Shimosawa, University of Tokyo, K. Okano, International Christian University A Low-voltage High-pressure Field Ionizer for Portable A.A. Fomani, L.F. Velasquez-garcia, A.I. Akinwande, Massachusetts Institute of Analytical Instruments Technology Faster Response Time of Active-matrix Spindt-type Y. Honda, K. Miyakawa, M. Kubota, N. Egami, NHK Science & Technology Field Emitter Array for Image Sensor with High-gain Research Laboratories, M. Nanba, NHK Engineering Services, Inc. Avalanche Rushing Amorphous Photoconductor Target Fabrication of Field Emission Lamp with RAP Grown J.S. Kang, S.Y. Park, H.R. Lee, K.C. Park, Kyung Hee University Carbon Nanotube Emitters Break Session Chair: Muller Development of High Power X-ray Source Based on P. Helfenstein, Y.C. KiM I.H. KiM, S.H. Park, T.W. Jung, D.Y. KiM, J.P. KiM, H.K. Printed Carbon Nanotube Field Emitters Park, I.T. Han, Samsung Field-emission from Carbon Nanotube Cones M.V. Moghaddam, M. Sarwar, Z. Xiao, M. Dahmardeh, K. Takahata, A. Nojeh, Fabricated by Micro-electro-discharge Machining University of British Columbia The Field Emission from a Composite of CNT and N. Zhao, C. Shi, K. Qu, C. Li, W. Lei, X. Zhang, Southeast University Graphene Sheets by Transferred Method High-current Edge Emission Source from Aligned J. Liu, N. Li, B. Zeng, University of Electronics Science and Technology of China Graphene Sheets Conference Ends
page 4
IVNC 2013 Schedule Tuesday, July 9 7:00 a.m. Plenary 8:00 a.m. 8:10 a.m. 8:50 a.m.
9:15 a.m.
Registration Session Chair: Akinwande Opening Remarks INVITED: Advances in Vacuum Electronics At DARPA High Average Power Field Emitter Cathode and Testbed For X/Ku-Band Cold Cathode TWT INVITED: Ultrafast field emission electron bunch generation: can field emitter arrays surpass state-of-the-art photocathodes?
Break 9:55 a.m. Optically Stimulated Emission 10:10 a.m. Nanostructured Silicon Photo-cathodes for Xray Generation 10:30 a.m. High-Density Optically Actuated Au Nanorod Electron Emitter Arrays 10:50 a.m. 11:10 a.m.
11:30 p.m. 11:50 p.m.
Non-linear Photoemission from Carbon Nanotube Arrays Photo-assisted Field Emission and Current Noise Analysis from Single Submicron CdS Wire High Speed Photo-Modulated Spindt Cathode for FELs Plasmonic-Enhanced, Nanostructured, Ultrafast, High-Efficiency Photocathode Lunch
12:10 p.m. Sp2 Carbon I 1:30 p.m. CNT Cold Cathode with Side-gate Electrode for Flat Panel X-ray Source 1:50 p.m. Design, Fabrication, and Test Results for Linear Arrays of Nano Layered Carbon (NLC) Edge Emitters 2:10 p.m. Stable, High Current Density Carbon Nanotube Field Emission Devices 2:30 p.m. Investigation of Electron Emission from Vertically Grown Cnts Using Combination of Field Emission Microscopy (FEM) and Scanning Probe Image Processing (SPIP) Techniques 2:50 p.m.
Field Emission of Patterned MWCNT and SWCNT / Graphene Hybrid Samples
3:10 p.m.
High Field Emission Current Density from Structured CNT Based Cathodes
3:30 p.m.
Break and Poster Viewing
D. Palmer, DARPA D. Whaley, R. Duggal, C. Armstrong, L-3; C. Holland, C. Spindt, D. Thibert, SRI S. Tsujino, Paul Scherrer Insitute
Session Chair: Xu M.E. Swanwick, P.E. Keathley, F.X. Kartner, L.F. Velasquez-Garcia, Massachusetts Institute of Technology R. Hobbs, Y. Yang, P.D. Keathley, W.S. Graves, F.X. Kaertner, M.E. Swanwick, L.F. Velásquez-garcía, K.K. Berggren, Massachusetts Institute of Technology M.V. Moghaddam, P. Yaghoobi, G. Sawatzky, A. Nojeh, University of British Columbia D.S. Joag, University of Pune; P.G. Chavan, North Maharashtra University; R.V. Kashid, University of Pune; S.S. Bhadade, National Chemical Laboratory; I.S. Mulla, Center for Materials for Electronics Technology; M.A. More, University of Pune C. Holland, P. Schwoebel, K. Todd, C. Spindt, SRI; T. Smith, Stanford University S. Chou, Y. Liang, W. Zhang, Y. Wang, Princeton University
Session Chair: Dziuban S. Nitta, S. Okawaki, S. Abo, F. Wakaya, M. Takai, Osaka University L. Fredin, R. Hellmer, Stellar Micro Devices, Inc; M. Eaton, L. Webber, Y. Mirgorodsky, Stellar Micro Devices, Inc. D.J. Smith, P. Bui, J.D. Michael, S.C. Aceto, K. Marquard, J.E. Brewer, General Electric S. K. Kolekar, University of Pune
S.F. Tedde, D. Leberl, Siemens AG - Corporate Technology; R. Ummethala, A. Leonhardt, Leibniz Institute for Solid State and Materials Research; H. Kapitza, H. Zeiniger, Siemens AG - Corporate Technology P. Serbun, G. Müller, University of Wuppertal; A. Tymoshchyk, I. Kashko, B. Shulitski, Belarusian State University of Informatics and Radioelectronics
Wednesday, July 10 Registration 7:30 a.m. Field Emission Theory I
Session Chair: Fursey
8:00 a.m.
INVITED: Progress with Developing Theory for Fowler-Nordheim-Plot Interpretation
R.G. Forbes, J.H.B. Deane, University of Surrey
8:30 a.m.
INVITED: Imaging Graphene Pseudo-spin Via Field Electron Emission Electrical Characteristics of Non-linear-barrier Field Emission: A Fowler-nordheim-like Equation to Be Used with Experimental Data
Z. Li, Sun Yat-Sen University
Thermal Field Emission from a Log-normal Distribution: Impact on Space Charge and Emittance Elementary Framework for Cold Field Emission Incorporation of Quantum Confinement Effects Break
K.L. Jensen, Naval Research Laboratory; J. Petillo, D.N. Panagos, SAIC
9:00 a.m.
9:20 a.m.
9:40 a.m.
10:00 a.m. Sp2 Carbon II 10:20 a.m. An Experimental Study of Electron Field Emission and Explosive Field Emission from Graphene and Graphene-Like Structures 10:40 a.m. High Emission Current from CNT Point Emitters Using a Free Standing CNT Film 11:00 a.m.
Field Emission from Graphene Supported on Metal Nanotip Arrays 11:20 a.m. Effect of 6 MeV Electron Beam Irradiation on the Field Emission Current Noise Behavior of Graphene 11:40 a.m. Synthesis and Electron Field Emission from Vertically Aligned Carbon Nanotubes on Stainless Steel Substrate Lunch 12:00 p.m. Novel Materials 1:30 p.m. “Giant Current Density” and 'Anomalous Electron Transport' Observed at Room Temperature with Nanogranular Materials 1:50 p.m. Field Emission from Surface Textured Extraction Facets of Gan Light Emitting Diodes 2:10 p.m. HfC(310) High Brightness Sources for Advanced Imaging Applications 2:30 p.m. Fabrication of Titanium Oxide Field Emitter Array on Glass Substrate 2:50 p.m. Non-Crystallization and Enhancement of Field Emission of Cupric Oxide Nanowires Induced by Low-Energy Ar Ion Bombardment 3:10 p.m. 3:30 p.m.
A. Kyritsakis, J.P. Xanthakis, National Technical University of Athens
A.A. Patterson, A.I. Akinwande, Massachusetts Institute of Technology
Session Chair: Busta G. Fursey, M. Polyakov, The Bonch-Bruevich Saint-Petersburg State University of Telecommunications; V. Bogevolnov, Saint Petersburg State University D.H. Shin, K.N. Yun, Y.M. Hwang, Y. Sun, Y. Song, Korea University; Y. Song, Electronics and Telecommunications Research Institute; C.J. Lee, Korea University D. Ye, Virginia Commonwealth University R.V. Kashid, University of Pune; L.S. Panchakarla, Jawaharlal Nehru Centre for Advanced Scientific Research; S.D. Dhole, D.S. Joag, M.A. More, University of Pune S. Neupane, W. Li, Florida International University
Session Chair: Kyritsakis H.W.P. Koops, HaWilKo GmbH Ober-Ramstadt
R. Schreiner, C. Langer, C. Prommesberger, Regensburg University of Applied Sciences; S. Mingels, P. Serbun, G. Müller, University of Wuppertal W.A. Mackie, J.M. Lovell, T.W. Curtis, G.G. Magera, Applied Physics Technologies T. Nakatani, S. Abo, F. Wakaya, M. Takai, Osaka University X.M. Song, J. Chen, Sun Yat-Sen University
Break Tutorial & Discussion: Presentations by K. L. Jensen, G. Fursey, and R.G. Forbes. Please come prepared with your questions about Field Emission Theory and Practice
Thursday, July 11 Registration 7:45 a.m. Emission from Group IV Materials 8:00 a.m. Thermal Induces dopant Re-distribution in Nano-apex of Si Tip and Its Effects on Field Emission Performance 8:20 a.m. Self-aligned, Gated Field Emitter Arrays with Integrated High-aspect-ratio Current Limiters 8:40 a.m.
Doped Diamond Thin Film Electron Sources for Thermionic Energy Conversion
9:00 a.m.
Photo Induced Electron Emission from Nitrogen Doped Diamond Films on Silicon 9:20 a.m. A Monolithic Multi-finger Nanodiamond Lateral Vacuum Microtriode 9:40 a.m. Cold Cathode Array Coated with Cubic Boron Nitride Break 10:00 a.m. Field Emission Theory II 10:20 a.m. The Origin of Power Laws in Electric Field Assisted Tunneling 10:40 a.m. Scaling Properties of a Non-fowler-nordheim Tunneling Junction 10:50 a.m. Improved Field Emission Algorithms for Modeling Field Emission Devices Using a Conformal Finite-difference Time-domain Particle-in-cell Method 11:10 a.m. Conceptual Error in the Definition of the Term "Voltage" in the International Standard for Electromagnetism, and Related Implications for the Theory of Patch Fields and Electron Emission Lunch 11:30 a.m. Alkali Metals 1:30 p.m. Barium De-wetting and Thermionic Emission from Ba on W 1:50 p.m. Progress in Self-healing Photoelectron Sources: The CPR Dispenser Photocathode Vacuum Technology 2:10 p.m. High Vacuum Micropump for Miniature Nanoelectronics Devices 2:30 p.m. A Mems-based Resistive Vacuum Gauge with Voltage Readout Break and Poster Viewing 2:50 p.m. Banquet and Awards 7:00 p.m.
Session Chair: More Y.F. Huang, W.L. Wang, J.C. She, S.Z. Deng, N.S. Xu, Sun Yat-Sen University S.A. Guerrera, A.I. Akinwande, Massachusetts Institute of Technology
F.A. Koeck, R.J. Nemanich, Arizona State University
T. Sun, F.A.M. Koeck, A. Rezikyan, M.M.J. Treacy, R.J. Nemanich, Arizona State University N. Ghosh, W.P. Kang, S.H. Hsu, S. Raina, Vanderbilt University M. Kobayashi, T. Ono, Tohoku University
Session Chair: Muller H. Cabrera, D.A. Zanin, L.G.D. Pietro, T. Michaels, P. Thalmann, U. Ramsperger, A. Vindigni, D. Pescia, ETH Zurich A. Kyritsakis, J.P. Xanthakis, National Technical University of Athens; D. Pescia, ETH Zurich M.C. Lin, J. Loverich, P.H. Stoltz, C. Nieter, Tech-X Corporation
R.G. Forbes, University of Surrey
Session Chair: Yater M.E. Kordesch, C. Wan, Ohio University E.J. Montgomery, B.C. Riddick, University of Maryland, College Park; R.L. Ives, L.R. Falce, Calabazas Creek Research, Inc. Session Chair: Joag T. Grzebyk, A. Górecka-drzazga, J.A. Dziuban, Wrocław University of Technology H. Busta, University of Illinois at Chicago
Friday, July 12 7:45 a.m. Devices 8:00 a.m.
8:20 a.m. 8:40 a.m.
9:00 a.m. 9:20 a.m.
9:40 a.m.
Registration Effect of Electron Focusing in X-ray Source Using LiTaO3 Crystal Excited by Nd:YLF Laser Light
Session Chair: Dziuban K. Nakahama, M. Takahashi, S. Abo, F. Wakaya, M. Takai, Osaka University
Stationary X-ray Sources for Medical Tomographic Imaging A-Se Based Wide Wave-range Photodetector Driven by Diamond Cold Cathode
P.R. Schwoebel, C.E. Holland, and C.A. Spindt, SRI International; J.M. Boone. J. Shao, University of California Davis T. Masuzawa, M. Onishi, I. Saito, International Christian University; T. Yamada, National Institute of Advanced Industrial Science and Technology; S. Ogawa, Y. Takakuwa, Tohoku University; A.T.T. Koh, D.H.C. Chua, National University of Singapore; T. Nakamura, Y. Iwai, Onizuka Glass Corporation; Y. Mori, Osaka University; T. Shimosawa, University of Tokyo; K. Okano, International Christian University
A Low-voltage High-pressure Field Ionizer for Portable Analytical Instruments Active-Matrix Spindt-Type Field Emitter Array with Faster Response Time for Image Sensor with High-Gain Avalanche Rushing Amorphous Photoconductor Target
A.A. Fomani, L.F. Velásquez-garcía, A.I. Akinwande, Massachusetts Institute of Technology Y. Honda, K. Miyakawa, M. Kubota, N. Egami, Kinki University; M. Nanba, NHK Engineering Services, Inc.
Fabrication of Field Emission Lamp with RAP Grown Carbon Nanotube Emitters Break
J.S. Kang, S.Y. Park, H.R. Lee, K.C. Park, Kyung Hee University
10:00 a.m. Sp2 Carbon III 10:20 a.m. Enhancement of Emission Stability from Printed Carbon Nanotubes at Current Densities Above 200 mA cm-2
Session Chair: Takai P. Helfenstein, Y.C. Kim, I.H. Kim, S.H. Park, T.W. Jung, D.Y. Kim, J.P. Kim, H.K. Park, I.T. Han, Samsung
10:40 a.m.
Pressure Dependent Field Emission Characteristics of Graphene Emitters Field-emission from Carbon Nanotube Cones Fabricated by Micro-electro-discharge Machining The Field Emission from a Composite of CNT and Graphene Sheets by Transferred Method
M.A. More, R.V. Kashid, D.S. Joag, University of Pune
High-current Edge Emission Source from Aligned Graphene Sheets
J. Liu, N. Li, B. Zeng, University of Electronics Science and Technology of China
11:00 a.m.
11:20 a.m.
11:40 a.m.
M.V. Moghaddam, M. Sarwar, Z. Xiao, M. Dahmardeh, K. Takahata, A. Nojeh, University of British Columbia N. Zhao, C. Shi, K. Qu, C. Li, W. Lei, X. Zhang, Southeast University
IVNC 2013 Poster Session I Tuesday, July 9 P1
Mechanism for Electron Emission from Scandate Cathodes
L. Chen, T. Huang, Q. Hu, B. Li, University of Electronic Science and Technology of China
P2
Optically Modulated Electron Emission From Nanocrystalline Silicon Based Metal-oxidesemiconductor Cathodes
H. Shimawaki, Hachinohe Institute of Technology; Y. Neo, H. Mimura, Shizuoka University; F. Wakaya, Osaka University
P3
Characterization of Nickel Oxide Films Fabricated Under the Optimized Annealing Temperature
Z. Li, Khanqasim, Y. Zhou, J. Chen, C. Li, W. Lei, Southeast University
P4
Research and Development of the Thermionic Energy Conversion Method
V.E. Ptitsin, Institute for Analytical Instrumentation of the Russian Academy of Sciences
P5
ZnO Nanowires Applied in Transistor for Driving Active Fe-device
L. Xiang, L. Wei, Southeast University
P6
Field Emission Study of the Work Function Change of PEDOT:PSS Film
Y.J. Xing, M.F. Qian, J.F. Qin, G.M. Zhang, Peking University
P7
CMOS-field Emission Devices Based On Local Molecular Beam Epitaxy
M. Bachmann, Ketek GmbH; C. Axt, C. Preiß, B. Hinze, M. Rackemann, P. Sautter, Universität der Bundeswehr; A. Pahlke, Ketek GmbH; W. Hansch, Universität der Bundeswehr
P8
Effective Field Emitting Angle of Spindt-type Emitter with Different Half-angle
N. Li, B. Zeng, J. Liu, H. Zhang, J. Guo, University of electronics science and technology of china; W. Xiang, X. Tan, D. Jin, M. Qian, X. Zhao, Chinese Academy of Engineering Physics
P9
Sharp Bare and Gold-coated Copper Nanocones with Improved Field Emission Performance
P. Serbun, G. Müller, University of Wuppertal; I. Alber, M.E. Toimil-molares, C. Trautmann, GSI Helmholtz Centre for Heavy Ion Research
P10
Black TiO2 Nanospheres Assisted Enhancement of Laser-induced Rb+ Ion Emission from Rbcl
P. Ao, W. Gao, D. Guo, Y. Xing, G. Zhang, Peking University
P11
Electron Beam Collimation with a 40,000 Tip Metallic Double-gate Field Emitter Array and Insitu Control of Nanotip Sharpness Distribution
P. Helfenstein, V.A. Guzenko, Paul Scherrer Institut; H.W. Fink, University of Zurich; S. Tsujino, Paul Scherrer Institut
P12
An Estimation of the Application Possibility at THz Region for the PP-VME Diode and Triode
B. Chen, Wuxi CSI Electronics Co.Ltd; S. Xia, cademy of Sciences; H. Li, Beijing General Research Institute for Nonferrous Metal; G. Liu, Institute of Electronics, Chinese Academy of Sciences; Y. Ding, Institute of Electronics Chinese Academy of Sciences
P13
Tuning Field Emission Properties of CuO Nanowires Prepared from Copper Thin Films by Thermal Oxidation Method
G.X. Liu, J. Ren, S.Z. Deng, N.S. Xu, J. Chen, Sun Yat-Sen University
P14
Optimization of Field Emission Properties of CuO Nanowire Field Emitter Arrays by Adjusting the Thickness of Cr Adhesion Layer
J. Ren, G.X. Liu, S.Z. Deng, N.S. Xu, J. Chen, Sun Yat-Sen University
P15
Field Emission from Boron Nitride Nanomaterials
Y. Song, D.H. Shin, C.J. Lee, Korea University
P16
Work Function Measurement of Er-oxide/W(100) Surface by Using of Photoemission Electron Microscope.
H. Nakane, Muroran Institute of Technology; T. Kawakubo, Kagawa National College of Technology
P17
Synthesis of WO2 Nanowire Arrays on Glass Substrate for Field Emission Application
T.Y. Guo, Z. Xu, F. Liu, J. Chen, S.Z. Deng, N.S. Xu, Sun Yat-sen University
P18
Ring Field Emission Patterns Observed from ZnO Nanowires
Y.C. Chen, J. Chen, Sun Yat-Sen University
P19
Preparation of WO3 Nanowires by Thermal Oxidation Method and Investigation on Their Field Emission Properties
X. Zhuo, L. Fei, G. Tongyi, D. Shaozhi, X. Ningsheng, C. Jun, Sun Vat-sen University
P20
The Topografiner with Energy Analysis
D.A. Zanin, M. Erbudak, L.G.D. Pietro, A. Fognini, T. Michlmayr, Y.M. Acremann, U. Ramsperger, ETH Zurich
P21
Achieving High Brightness ZnO Nanowire Field Emission Display by Optimizing the Pattern Size of Emitters
Y.X. Chen, Y.F. Li, S.Z. Deng, N.S. Xu, J. Chen, Sun Yat-Sen University
P22
Semiconducting V2O5 Mat As a Flexible Field Emitter
K. Dewangan, Indian Institute of Technology; M.A. More, D.S. Joag, Centre for Advanced Studies in Materials Science and Condensed Matter Physics, University of Pune; N.S. Gajbhiye, Indian Institute of Technology; P.G. Chavan, University of Pune
P23
Plasmon-assisted Double Gate Field Emitter Arrays
A. Mustonen, P. Helfenstein, P. Beaud, Paul Scherrer Institut; T. Feurer, University of Bern; S. Tsujino, Paul Scherrer Institut
P24
Influence of Annealing Temperature on the Optical and Electrical Properties of AZO Nonocrystalline Films Fabricated by Sol-gel Spin Coating Method
C.Y. Kung, C.L. Hunag, National Chung Hsing University; S.L. Young, Hsiuping University of Science and Technology
P25
Sub-gap Photo-enhanced Secondary Electron Emission from Single-crystal CVD Diamond
J. Yater, J. Shaw, K. Jensen, B. Pate, T. Feygelson, F. Wood, Naval Research Laboratory
P26
p-type Diamond Positive Surface Photo-voltage
J. Shaw, J. Yater, Naval Research Lab; J. Hanna, Beam-Wave Reserach; B. Pate, T. Feygelson, Naval Research Lab
P27
Enhanced Field Emission from ZnO Nanowires Prepared by Thermal Oxidation of Al Coated Zn Film
Y.F. Li, C.X. Zhao, S.Z. Deng, N.S. Xu, J. Chen, Sun Yat-sen University
IVNC 2013 Poster Session II Thursday, July 11 P28
Effect of Fabricating Method and Nano Structure on Properties and Performance of M-type Cathode
Mina Shiran, Mohammad Jafar Hadianfard, Shiraz University
P29
Use of a Spreadsheet to Test for Lack of Field Emission Orthodoxy
R.G. Forbes, University of Surrey
P30
Low Applied Field Electron Emission of Graphenes Exfoliated from Carbon Cloth
J. Liu, B. Zeng, University of Electronic Science and Technology of China
P31
An External Circuit Model for Electromagnetic Particle-in-cell Simulations of Field Emission Devices
M.C. Lin, Tech-X Corporation
P32
Enhanced Electron Emission from Few-layer Graphene Nanosheets by Ammonia Plasma Treatment
C.X. Zhao, S.Z. Deng, N.S. Xu, J. Chen, Sun Yat-Sen University
P33
Explosive Field Emission from Graphene Sheets
J. Liu, B. Zeng, W. Cai, University of Electronics Science and Technology of China
P34
The Study of Field Emission Properties of Carbon Fibers
A. Kolodyazhnyj, E. Sheshin, Moscow Institute of Physics and Technology
P35
The Field Emission of Graphene Films Reduced by Laser Treatment
W. Cai, J. Liu, Z. Peng, B. Zeng, University of Electronic Science and Technology of China
P36
10 Inch Screen Printed ZnO Nano-material Cold Cathode for Flat Panel Light Source
J. Xu, Y. Zhang, S. Deng, J. Chen, N. Xu, Sun Yat-sen University
P37
Field Emission Properties of Point Emitters Fabricated Using Carbon Nanotubes on the Graphite Rod
Y. Sun, K.N. Yun, Y. Song, Korea University; S. Jeon, Korea Electrotechnology Research Institute; C.J. Lee, Korea University
P38
Temperature Dependence of the Field Emission from Vertical Aligned Few Layer Graphene
W.Q. Chen, J.Q. Wu, C.X. Zhao, Y. Zhang, S.Z. Deng, N.S. Xu, J. Chen, Sun Yat-Sen University
P39
Extraction of Multiple Parameters of a Lightactivated Thermionic Cathode with a Single Type of Experiment
A.H. Khoshaman, M. Chang, A. Nojeh, University of British Columbia
P40
Enhanced Field Emission Properties of ZnO Nanowire by Thermal Oxidation of Zn/Al Film
Y.F. Li, S.Z. Deng, N.S. Xu, J. Chen, Sun Yat-Sen University
P41
Making Graphene and Graphene-oxide Visible on Sio2/Si Substrates
J. Guo, B. Zeng, Y. Yang, J. Liu, University of Electronic Science and Technology of China
P42
A Micro-sized CNT Paste-emitter for Nano-focus X-ray Sources
J. Choi, J. Jeong, J. Kang, S. Choi, J. Kim, Electronics and Telecommunications Research Institute; J. Kim, University of Science and Technology S. Ahn, Sun Moon University; Y. Song, Electronics and Telecommunications Research Institute
P43
Analysis of CNT Emitter-based Miniature X-ray Tubes for Stable and Reliable Operation
J. Kang, S. Choi, Electronics and Telecommunications Research Institute; J. Kim, University of Science and Technology; J. Jeong, Electronics and Telecommunications Research Institute; S. Ahn, Sun Moon University; J. Choi, Y. Song, Electronics and Telecommunications Research Institute
P44
Electron Sources with CNT Field-emitter Cathodes - Design Differences for Lower and Higher Emission Currents
W. Knapp, Otto-von-Guericke University Magdeburg / IFQ
P45
Local Mapping of Doping Variations in Intercalated Epitaxial Graphene on Sic(0001)
D.B. Dougherty, A. Sandin, T. Guan, J.E. Rowe, NC State University
P46
Analytical Study of Field Emitter Behavior and Comparison with Experiment
J.S. Price, GE Global Research; Y. Mikata, Bechtel
P47
Cathodoluminescence Properties of Silicon Thin Films Crystallized by Electron Beam Exposure
S.Y. Park, J.S. Kang, H.R. Lee, M.Y. Joo, J.K. Kim, K.C. Park; Kyung Hee University
P48
The Effect of Barrier Form on Slope and Intercept Correction Factors: Development of Some Enabling Theory
A. Fischer, Technical University of Chemnitz; M.S. Mousa, Mu'tah University, Jordan; J.H.B. Deane, R.G. Forbes, University of Surrey
P49
Influence of Electron Energy Distribution in Nanocathodes on Current-voltage Characteristics
A. Evtukh, A. Grygoriev, V. Litovchenko, O. Steblova, V. Lashkaryov Institute of Semiconductor Physics; O. Yilmazoglu, H. Hartnagel, Technische Universität Darmstadt; H. Mimura, Shizuoka University
P50
Low Temperature, Low Vapor Pressure Bonding Method for Diamond Thin Films
J. Shaw, Naval Research Lab; J. Hanna, Beam-Wave Research; J. Yater, B. Pate, T. Feygelson, Naval Research Lab
P51
Enhanced Electron Emission from Few-layer Graphene Nanosheets by Ammonia Plasma Treatment
C.X. Zhao, Y.C. Chen, Y. Zhang, S.Z. Deng, N.S. Xu, J. Chen, Sun Yet-Sen University
Sponsor Ads
I NTE GRA TE D / LORENTZV9.1 SOF TWARETHA TL I VE SUPTOTHEPOWE ROFYOURI DE AS
E l e c t r o nb e a mp a s s i n gt h r o u g ha no me g af i l t e r
C HARGE DP ART I C L EBE AMANAL YS I SPROGRAM E AS YC AL C UL AT I ONSAL ONGBE AMAXI S
2 2 0 1 8 2 1We l l i n g t o nA v e Wi n n i p e g , Ma n i t o b aR 2 H 0 G 4C a n a d a @i 1 . 2 0 4 . 6 3 2 . 5 6 3 6i n f o n t e g r a t e d s o f t . c o m www . i n t e g r a t e d s o f t . c o m
High-Frequency, High-Power Products
MICROWAVE POWER MODULES
HELIX TWTS
ELECTRON GUNS
CCTWTS
Proud to Sponsor IVNC 2013
As a global leader in Vacuum Electronics L-3 EDD is on the forefront of new Vacuum Nanoelectronics technology development. Our Field Emitter Cathode program, in collaboration with SRI International, is exploring the potential to replace traditional thermionic cathodes, critical as the source for electron guns and microwave power amplifiers for decades, with wafer-based nanoelectronic cold cathodes.
SINGLE EMITTER
EMITTER ARRAY
See our flash video presentation at WWW.L-3COM.COM/EDD/FEC DATA LINKS | ECM | RADAR | SATCOM | DECOYS | MEDICAL | INDUSTRIAL
PrairiePrototypes Independent prototyping lab
Contact: Heinz H. Busta 847 698-3757
[email protected]
v Electron/ion column design using in house developed e-optics software v All aspects of micro-electro & nano-electro mechanical systems (MEMS/NEMS) v In house electronics design supporting test and evaluation of prototype devices v Precision mechanical design and fabrication supporting prototype development Laboratory facilities
Miniaturized electron column designed for massively parallel e-beam lithography.
A miniature (3.5 mm diameter) X-ray source using thermionic or field emission electron sources.
An out-of-plane resistive vacuum gauge fabricated on 1µm thick silicon nitride. The diameter of the cylinder is 80µm.
Computer simulations.
Plenary
Advances in Vacuum Electronics at DARPA W. Devereux Palmer¹, Joseph J. Maurer², Steven J. Gross², Tsu-Hsi Chang² Defense Advanced Research Projects Agency, 675 N. Randolph St., Arlington, VA 22203,
[email protected] Booz Allen Hamilton, 3811 N. Fairfax Dr., Arlington, VA 22203 Abstract— The Defense Advanced Research Projects Agency funds leading-edge research that continually expands the capabilities of the military. Over the past several years, a number of US DoD research programs have focused on the electron beam generation and transport technologies that enable vacuum electronic devices capable of operating across the electromagnetic spectrum from G-band to x-rays. This paper will describe the program goals, discuss the electron sources, highlight selected breakthroughs, and preview potential new areas. Keywords— vacuum electronics; cathodes; electron devices and sources; x-ray sources; micromachining.
I.
INTRODUCTION
Current strategic investments in vacuum electronics by the Defense Advanced Research Projects Agency (DARPA) will maintain the technological superiority of the US military and provide the US warfighter an asymmetric advantage. In recent years this investment has focused on the frequency bands in the “upper” millimeter wave from 200GHz to 300GHz, and submillimeter wave or THz from 300GHz to 3THz [1]. These bands historically have been underutilized and difficult to exploit due to a lack of high power, high speed electronics, and high bandwidth amplifiers. In order to operate vacuum electronics successfully at these frequencies, significant investment has been made in micromachined interaction structures, advanced cathode technology, and magnetics. Even more recently, DARPA has initiated a similar investment in the underlying technologies for compact, tunable, monoenergetic x-ray sources. These programs have made significant progress and selected highlights are presented in this work. II.
VACUUM ELECTRONICS & LIMITS ON SCALING
Vacuum electronics is the technology that consistently has defined the outer boundaries of the frequency and power operating space [2]. However, because the dimensions of a vacuum electronic device scale with operating frequency, the precision available from traditional machining techniques cannot keep up as operating wavelengths approach the micron scale. The boom in micro-electromechanical systems (MEMS) has fueled the development of fabrication technologies including DRIE and LIGA that are capable of up to three orders of magnitude finer precision compared to conventional machining. A quick back-of-the-envelope calculation would indicate that application of these fabrication techniques to vacuum electronic devices should enable operation at frequencies up to three orders of magnitude higher. However, experience informs us that the back-of-the-envelope calculation often does not tell the entire story.
Levush et al. [3] have defined convenient scaling laws for the peak RF power available from traveling-wave and standing-wave electron devices. The governing equation for traveling-wave devices is 24
/
/
/
(1)
where N is the electron sheet beam aspect ratio or number of round beams, f is in GHz, Vb in kV, and J in A/cm2. From this equation it is clear that the beam power must rise much faster than the operating frequency just to maintain a constant output power level. Of course, what does not appear in (1) is the increasing complexity of electron beam transport with increasing beam current density and operating frequency, with its corresponding decrease in beam diameter. For very small beams that generally operate in the emittance dominated regime, the minimum beam thickness scales as T1/2Jb/BJc , where T is the cathode temperature, Jb is the beam current density, B is the magnetic field strength in the beam tunnel, and Jc is the current density at the cathode [3]. Plugging in the numbers required for THz operation points to the need for high magnetic fields and highlights the potential utility of cold cathodes. These equations clearly define the engineering “pressure points” for reducing a THz vacuum device to practice. These and other pressure points are being addressed under several current DARPA programs. III.
DARPA PROGRAMS
The High Frequency Integrated Vacuum Electronics (HiFIVE) program [4] kicked off in FY07 with the goal of demonstrating an integrated, microfabricated vacuum electronic high power amplifier (HPA) circuit at 220 GHz for use in high-bandwidth, high-power transmitters. The Vacuum Electronic Circuit Elements technical track requested component development in high efficiency micromachined interaction structures and high current density cathodes. Relevant component results have included Cu-plated Si DRIE circuits fabricated at Teledyne for a traveling wave tube (TWT) amplifier by Northrop Grumman Electronic Systems (NGES) [5], an all-Cu serpentine waveguide fabricated at the US Naval Research Laboratory (NRL) using a novel monofilament LIGA technique [6], and microcomposite scandate dispenser cathodes with CW current density of over 50 A/cm2 fabricated at the University of California Davis as part of the Teledyne team [7]. NGES and NRL have made complete demonstrations of integrated HPAs demonstrating over 50 W of output power at G-band. The Terahertz Electronics (THz) program [8] started in FY08 with two technical areas of interest: Terahertz Transistor
Electronics and Terahertz High Power Amplifier (HPA) Modules. The three-phase program targets center operating frequencies of 0.67 THz, 0.85 THz, and 1.03 THz respectively in each phase. Status and results from the transistor technical area were presented by Albrecht et al. [9]. The HPA technical area features development of a TWT amplifier by NGES [10] and an extended interaction klystron (EIK) by SAIC [11]. The NGES approach at both 670 and 850 GHz has driven further scaling of the Cu-plated Si DRIE circuits from HiFIVE [12, 13]. NGES has demonstrated over 100 mW at sub-MMW frequencies around 670 GHz [12]. Also of interest to the DoD are technologies for compact, tunable, monoenergetic x-ray sources that enable phase contrast imaging for detailed imaging of the human body and in particular the soft tissue. The Advanced X-Ray integrated Sources (AXiS) program [14] began in FY11 with the aim of developing high brilliance x-ray source technologies and phase contrast imaging techniques for imaging of low-Z materials. These advanced compact sources will be directional, monoenergetic (spectrally narrow), and tunable with photon energies between 10 to 80 keV. They also will be efficient enough to allow battery operation, and a reduction in size down to one cubic centimeter will make these devices portable and deployable in military field hospitals. The AXiS program will develop the key components including the electron sources to generate electron bunches, the accelerator structures, and the interaction region or undulators to convert the electron beam energy into x-rays.
Continued strategic investment by the US DoD will lead to new scientific breakthroughs enabling the next generation of vacuum electronics and other electron/x-ray sources. ACKNOWLEDGMENT The authors wish to thank Dr. Mark Rosker (formerly DARPA/MTO, now with Raytheon) for his vision of the world above 100 GHz, and Dr. John Albrecht (formerly DARPA/MTO, now with Michigan State University) for his leadership of the HiFIVE and THz programs. Disclaimer: The views, opinions, and/or findings contained in this article/presentation are those of the author/presenter and should not be interpreted as representing the official views or policies, either expressed or implied, of the Defense Advanced Research Projects Agency or the Department of Defense. Distribution Statement A, Approved for Public Release, Distribution Unlimited. REFERENCES [1]
[2]
[3]
[4]
IV.
THE WAY FORWARD
There is no question that significant breakthroughs have been demonstrated in vacuum electronics, and that more astonishing results are yet to come. Execution of the research programs that produced these breakthroughs also has uncovered some potentially fruitful areas for future research. State-of-the-art thermionic cathodes for commercial and military vacuum electronics are the workhorses of the industry. Still, there is room to increase repeatability, reliability, uniformity, and current density. Fundamental questions about the chemical, mechanical, and electromagnetic processes governing emission remain unanswered. As such, a recent DARPA request for information sought data on the current state-of-the-art in advanced cathode development [15]. Of specific interest are cathodes that operate at temperatures at or below 1000 °C, provide a total current of at least 10 mA at a current density of 1 A/cm2 or greater, and are capable of at least one hour of CW operation. These cathodes must be suitable for high power, high frequency vacuum electron devices. Current manufacturing processes for magnetics have reached a high level of sophistication. However, problems still exist with field strength uniformity and isotropy that will only get worse as device dimensions decrease to accommodate operation at higher and higher frequencies. For the next stage of device miniaturization, compact magnetics that are compatible with standard microfabrication processes must be developed. It is likely that a future request for information in integrated magnetic development will be forthcoming.
[5] [6]
[7]
[8]
[9]
[10] [11]
[12] [13] [14]
[15]
M.J. Rosker and H.B. Wallace, “Vacuum electronics and the world above 100 GHz,” 2008 IEEE International Vacuum Electronics Conference (IVEC), pp. 5-7, 22-24 April 2008. G.M. Borsuk and B. Levush, “PL-2: Vacuum electronics research perspective at the Naval Research Laboratory,” 2010 IEEE IVEC, pp. 34, 18-20 May 2010. B. Levush et al., “MMW to upper-MMW vacuum electronics research at NRL,” 34th International Conference on Infrared, Millimeter, and Terahertz Waves, 2009. IRMMW-THz 2009. pp. 1-2, 21-25 Sept. 2009. Defense Advanced Research Projects Agency. (2007, July). High Frequency Integrated Vacuum Electronics (HiFIVE), SOL BAA 07-49, POC Dev Palmer, DARPA/MTO. [Online]. Available: http://www.fbo.gov J. Tucek et al, “220 GHz Power Amplifier Development at Northrop Grumman” 2012 IEEE IVEC), Paper 26.6, April 2012. C.D. Joye et al, “Demonstration of a High Power, Wideband 220 GHz Serpentine Waveguide Amplifier Fabricated by UV-LIGA” 2013 IEEE IVEC), Paper 3B.1, May 2013. J. Zhao et al, “High Current Density and Long-Life Nanocomposite Scandate Dispenser Cathode Fabrication” IEEE Transactions on Electron Devices, Vol. 58, No. 4, April 2011. Defense Advanced Research Projects Agency. (2008, June). Terahertz Electronics (THz), SOL BAA 08-54, POC Dev Palmer, DARPA/MTO. [Online]. Available: http://www.fbo.gov J.D. Albrecht et al., “THz electronics projects at DARPA: Transistors, TMICs, and amplifiers,” 2010 IEEE International Microwave Symposium Digest (MTT), pp. 1118-1121, 23-28 May 2010. J. Tucek et al, “Sub-Millimeter and THz Power Amplifier Development at Northrop Grumman” 2010 IEEE IVEC, Paper 1.2, May 2010. Khanh Nguyen et al., “THz electronics projects at DARPA: Transistors, TMICs, and amplifiers,” 2010 IEEE International Microwave Symposium Digest (MTT), pp. 1118-1121, 23-28 May 2010. J. Tucek et al, “A 100 mW, 0.670 THz Power Module” 2012 IEEE IVEC, Paper 2.1, April 2012. J. Tucek et al, “Testing of a 0.850 THz Vacuum Electronic Power Amplifier” 2013 IEEE IVEC, Paper 10A.1, May 2013. Defense Advanced Research Projects Agency. (2003, December). Advanced X-Ray integrated Sources, SOL BAA 11-11, POC Dev Palmer, DARPA/MTO. [Online]. Available: http://www.fbo.gov Defense Advanced Research Projects Agency. (2012, May). Advanced Cathode Development, RFI SN 13-36, POC Dev Palmer, DARPA/MTO. [Online]. Available: http://www.fbo.gov
High Average Power Field Emitter Cathode and Testbed For X/Ku-Band Cold Cathode TWT David Whaley, Ramon Duggal, Carter Armstrong L-3 Communications Electron Devices, 960 Industrial Rd., San Carlos, CA 94070 USA Email:
[email protected] Tel: (650) 486-5556
Christopher Holland, Capp Spindt, David Thibert SRI International, 333 Ravenswood Ave., Menlo Park, CA 94025 USA Abstract: A new field emitter geometry that utilizes a
dielectric shield between the emitter tip and gate has been optimized to eliminate flashover in the cathode emitter cavities and improve cathode reliability. A high average power testbed has been designed and fabricated to test these cathodes at currents and current densities required for TWT operation in the X/Ku-Band frequency regime. Experimental tests in the water-cooled testbed demonstrated a record 100 hours of CW operation at 100 mA. A 200 mA X/KuBand TWT was designed to integrate these cathodes and to provide RF gain and power across the entire 618 GHz frequency band. Preliminary results taken at a cathode current of 50 mA show excellent focus of the emittance-dominated electron beam. RF results at 50 mA demonstrated positive gain over the entire frequency band with a maximum of 13.5 dB gain and 10 W output power at 10 GHz and low duty. Cathode testing as well as TWT design and preliminary operation will be described. Keywords: cold cathode TWT; field emitter cathode;
cathode test chamber. Introduction
The advent of dielectrically shielded geometry to eliminate flashover in the cavities of a field emitter cathode, has allowed for cathode testing to high average power. A cathode testbed capable of handling hundreds of mA current at 100% duty factor is required to test these cathodes at a level consistent with desired TWT operation. Integration of this cathode into an X/Ku-Band TWT also presents challenges not present in prior work at lower frequency [1]. This paper will present cathode testbed design and use with high average power field emitter cathodes as well as design and preliminary test results of a cold cathode TWT that addresses the challenges of operation in the X/KuBand frequency regime. Cathode Testbed
Testing of SRI Spindt field emitter cathodes prior to TWT integration has historically been limited to 10 mA and about 20% duty factor. These limitations were related to thermal effects in the test apparatus. To overcome this limitation, a high-average-power vacuum testbed was designed, incorporating a series of
two-stage TWT depressed collectors into a mounting and cooling structure. This configuration allows for simultaneous testing of six cathodes at full current and 100% duty factor with manageable dissipated power.
emission surface
electron rays
Ibeam = 120 mA E0 = 75 eV Vstage1 = 4000 V Vstage2 = 1500 V Pstage1 = 0W Pstage2 = 189 W
Figure 1: MICHELLE simulation of cold cathode testbed depressed collector at 120mA operation.
Figure 1 shows a MICHELLE [2] optics model of a single collector operating at 120 mA, using the first stage of the two stage collector as a low-current, high-voltage extraction electrode, required due to the high current density of the cathode, and a low-voltage second stage as the current collecting electrode. mounting structure
high-power collectors HV electrical/water feedthroughs Figure 2: High-average-power water-cooled testbed for testing of field emitter cathodes. Six individual mounted cathodes can be tested simultaneously.
Figure 2 shows the fully-built testbed, including conflat flange, HV and water feedthroughs, set of six high-
Cold cathode TWT operation in the X/Ku-Band frequency regime presents significant challenges for beam focusing and control of the emittance dominated electron beam in the small X/Ku-Band helix circuit. Previous work [1] showed that good focus was achievable to at least 120 mA at C-Band frequencies. Here, the smaller circuit size, higher current and current density, and higher emittance effects relative to space charge, required a modified design approach for this frequency band. Figure 3 shows a photograph of the 200 mA 100 W post-exhaust device prior to mounting for test. Electrical connection to the cathode is made via an SMA-style connector to the electron gun as shown.
RF input mounted cathode Figure 3: X/Ku-Band cold cathode TWT post-exhaust. Electrical connection is made to the cathode via an SMAstyle connector.
TWT Experimental Results
At full current of 200 mA, this TWT is designed to achieve 100 W RF power. Preliminary experimental results to 50 mA are shown in Figs. 4-6. Focusing of the high emittance electron beam is consistent with simulation, achieving 97% low-current transmission at 3800 V. Positive small signal gain is seen across the full 6-18 GHz frequency band with maximum gain at 50 mA of 13.5 dB at 10.6 GHz. Maximum saturated power is seen to be 10 W at 50 mA and 10 GHz.
60%
4200 V nominal
40%
0% 500 1000 1500 2000 2500 3000 3500 4000 4500 cathode voltage (V) Figure 4: Low current beam transmission vs. cathode voltage for experiment and MICHELLE simulation.
20 15 10 5 0 -5 -10 -15 -20 -25
50 mA 30 mA 20 mA
10 mA 5 mA
6
12 14 16 18 20 frequency (GHz) Figure 5: Experimental cold cathode TWT small signal gain vs. frequency for 5, 10, 20, 30, and 50 mA. Positive gain is shown over the full operating band with a maximum of 13.5 dB gain at 10.6 GHz.
output power (dBm)
electron gun
80%
20%
helix circuit RF output
Experimental Data
8
10
45
20
40
15
35
10
30
5
25
0 power
20
gain
gain (dB)
X/Ku-Band TWT Integration
Simulation 100%
transmission (%)
This testbed is currently being used for high power testing and screening of TWT cathodes fabricated with the new dielectrically-shielded geometry. A recent cathode batch processed in this testbed has achieved a record 100 mA operation at 100% duty factor operating continuously for 100 hours. These results represent greater than an order of magnitude increase in average power than was achievable with the previous generation testbed or previous generation cathodes.
120%
small signal gain (dB)
power two-stage collectors, and structure for mounting the collectors and cathode supports, as well as the electrical connections.
-5
15
-10 15 20 25 30 35 40 input power (dBm) Figure 6: Cold cathode TWT low-duty power and gain curves at 50 mA showing 10 W output power and 13.5 dB (8 dB) small signal gain (saturated gain) at 10 GHz. 5
10
Acknowledgements
The authors would like to thank the U.S. Government for their support and public release approval. Work supported by SRI International, Subcontract No. 26001162. References
[1] D.R. Whaley et al., IEEE Trans. on Electron Devices, Vol. 56, No. 5, May 2009. [2] J. Petillo et al., IEEE Trans. on Plasma Science, Vol. 30, No. 3, June 2002.
Ultrafast switching of field electron emission beam S. Tsujino Laboratory for Micro- and Nanotechnology Paul Scherrer Institut, CH-5232 Villigen-PSI, Switzerland
[email protected] The quest for brighter light source such as the X-ray free electron lasers (FELs) has been driving on one hand continuous improvement of existing electron sources such as thermionic cathodes or UV-laser-driven photocathodes, on the other hand, novel approaches based on new materials or different principles such as the field emission. The field emitter array cathode with the on-chip electron extraction and electron collimation gate electrodes is one such approach. Aiming at realizing a cathode compatible with the stringent XFEL specification in terms of the current, current density, beam emittance, ultrafast switching, and compatibility with the high acceleration electric field, modeling, fabrication, and characterization of all metal field emitter array has been intensively explored at the Paul Scherrer Institute, that should also have a potential impact on other applications e.g. in THz vacuum electronic amplifiers. We present recent experiments using the all-metal field emitter arrays, in particular the sub-nanosecond electrical switching and the near infrared laser induced field emission and their theoretical analysis, and discuss the feasibility to realize a field emission cathode that can surmount the performance of the state-of-the-art photocathode.
Optically Stimulated Emission
Nanostructured Silicon Photo-Cathodes for X-Ray Generation M. E. Swanwick1*, P. D. Keathley2, F. X. Kärtner2,3, and L. F. Velásquez-García1 1
Microsystems Technology Laboratories, Massachusetts Institute of Technology (MIT), Cambridge, MA, USA 2 Dept. of Electrical Engineering and Computer Science and Research Laboratory of Electronics, MIT 3 Center for Free-Electron Laser Science, DESY and Dept. of Physics, University of Hamburg, Hamburg, Germany *
[email protected] Abstract—We report the fabrication and characterization of ultrafast laser triggered nanostructured silicon photo-cathodes for x-ray generation via inverse Compton scattering. A highly uniform array of ~2200 silicon pillars with 5 μm array pitch, where each pillar is capped by a nanosharp tip, shows stable current emission using 35 fs, 800 nm laser pulses. The cathodes can emit at 3.6 nA average current over 8-million 1.2 pC electron bunches when excited with 9.5 μJ laser pulses with no degradation of the emission characteristic of the cathode, showing that silicon-based photon-triggered cathodes processed with standard CMOS processes and operated at high vacuum can function for extended periods without performance degradation. Keywords— coherent x-ray; field multiplexed; photocathode; ultrafast optics
I.
emission;
MEMS;
INTRODUCTION
Nanostructured cathodes that can be switched at an ultrafast time scale ( 2500/mm2) could be resolved at similar field levels (Fig. 2 right).
1.4
1
1.2
0.8
0.4 100 µm
0.2 0
Voltage, kV
0.7
Voltage, kV
0.9
0.6 0.5 0.3 16 µm
0.1 0
Figure 2. Regulated voltage maps (I = 1 nA, Øa = 5 µm) of structured CNT cathodes with a pitch of 100 µm (left) and 5 µm (right). The actual gap ∆z of ~15±5 µm was estimated by means of a long-distance microscope
The FE performance of a selected CNT bundle cathode with p = 5 µm was tested in diode configuration of the integral measurement system with luminescent screen (IMLS) at 10-5 Pa [5]. Measurements in pulsed mode (duty cycle 1:10) showed stable FE current up to 250 µA (J = 100 mA/cm2) at 13.5 V/µm (Fig. 5). Beside the strong homogeneous FE in the CNT bundle array area, a slight emission also occurred at the sample edges due to parasitic CNT growth. Using a solid Cu anode, peak current values Imax = 11 mA (Jmax = 4.4 A/cm2) were achieved at 23 V/µm but limited by strong fluctuations. (a)
The current carrying capability of various CNT cathodes was compared by local measurements with the same anode. After the first current rise, almost stable currents up to ~50 µA, ~250 µA and ~500 µA at 400 V were achieved for cathodes with a pitch of 100 µm, 10 µm and 5 µm, respectively (Fig. 3). The scaling of the FE current with increasing number density of individual CNT emitters at a given field reflects the limited effective FE homogeneity of the bundle arrays.
(b )
(c)
Figure 5. I-V curve (left) of a structured CNT cathode with corresponding Fowler-Nordheim plot (inset) and IMLS images (right) taken in pulsed mode (2:20 ms) at (a) I = 20 µA, (b) I = 100 µA, and (c) I = 250 µA.
IV.
Figure 3. Typical I-V curves of the locally measured (Øa = 150 µm) CNT bundle array cathodes for different pitch (p) and effective gap ( z) values.
For several cathode spots, a slow mean current increase or a small current drop were observed at constant voltage (Fig. 4). Such processing effects can be explained by field-alignment of individual CNTs or by destruction of dominant emitters in the bundles. High current processing, however, often resulted in a sudden strong permanent cathode degradation. SEM analysis of such spots revealed the disruption of complete bundles due to strong electric field or melting of the Mo layer.
CNT bundle arrays of reduced height and small pitch grown on trimetallic contact/catalyst films are well-suited to achieve high current densities at low voltages. Nevertheless, the FE homogeneity of the structures cathodes should be improved, e.g. by vertical aligned growth of CNT. Moreover, the contact and adhesion of the CNT bundles should be optimized to avoid their disruption. ACKNOWLEDGEMENT The financial support by EU project FP7-INCO Project Nr. 295043 BELERA is gratefully acknowledged. REFERENCES [1] [2]
[3]
[4]
[5]
Figure 4. Typical stability of a CNT bundle array (p = 5 µm, Øa = 150 µm) at moderate current and SEM image (inset) after processing at Imax = 500 µA.
CONCLUSIONS AND OUTLOOK
Y. Saito, “Carbon Nanotubes and Related Field Emitters”, Wiley-VCH, Weinheim (2010). A. Navitski, G. Müller, V. Sakharuk, A.L. Prudnikava, B.G. Shulitski and V.A. Labunov: ”Efficient high-current field emission from arrays of CNT columns”, J. Vac. Sci. Technol. B 28, C2B14-19 (2010). K. B. K. Teo, C. Singh, M. Chhowalla, W. I. Milne, “Catalytic Synthesis of Carbon Nanotubes and Nanofibers”, Encyclopedia of Nanoscience and Nanotechnology 1, 665-686 (20049). A. Navitski, P. Serbun, G. Müller, R.K. Joshi, J. Engstler, and J.J. Schneider: “Role of height and contact interface of CNT microstructures on Si for high current field emission cathodes ”, Eur. Phys. J. Appl. Phys. 59, 11302/1-6 (2012). D. Lysenkov, G. Müller, “Field emission measurement technique for the optimisation of carbon nanotube cathodes,” Int. J. Nanotechnology 2, 239-254 (2005).
Field Emission Theory I
Progress with developing theory for Fowler-Nordheim plot interpretation Richard G. Forbes
Jonathan H. B. Deane
Advanced Technology Institute & Department of Electronic Engineering, University of Surrey, Guildford, Surrey GU2 7XH, UK Permanent e-mail alias:
[email protected]
Department of Mathematics, University of Surrey, Guildford, Surrey GU2 7XH, UK
Abstract—The work described in this conference talk follows up recent work on the development of a test for lack of field emission orthodoxy. There are three stages of discussion involved. First, the results of applying the test to 17 selected published FN plots are reported. About half fail the test, showing that related published field enhancement factor (FEF) values are unreliable. In several cases, this failure is probably related to plot "saturation" associated with series resistance. Thus, second, we have explored how to calculate a "slope correction factor for the case of constant series resistance". This can be done, but the outcome is not useful. Third, we briefly outline other conceivable routes to more accurate extraction or characterization of "true physical FEFs", and suggest that systematic simulation experiments on all or some of these might be of interest. Keywords—Field electron emission; field emission orthodoxy test; Fowler-Nordheim plots; saturation; series resistance; slope correction factors.
I.
INTRODUCTION
This talk, given at the International Vacuum Nanoelectronics Conference (IVNC) 2013, reports further progress with ideas presented at IVNC 2012 [1], and is based in part on an archival paper submitted for publication [2]. The general research aims are to assess whether reported "field enhancement factor" (FEF) values are reliable, and to develop improved methods for interpreting Fowler-Nordheim plots. The talk's general structure was as follows. First, we review underlying theory and "the story so far", including the present state of the "orthodoxy test". A suitable spreadsheet for applying the test is presented elsewhere [3]. Next, we report the results of applying the test to selected literature data relating to a variety of materials. This demonstrates that some (but certainly not all) extracted FEF-values are unreliable. Third, on the assumption that some of these anomalies are due to series resistance in the measurement circuit, we explore whether it might be possible to calculate slope correction factors (which would also function as correction factors for the field enhancement factor) by means of a measurement circuit analysis that assumes constant series resistance. Since the answer seems to be that this is probably not useful, we then briefly note other conceivable options for improved estimation of "physical" FEFs.
II. BACKGROUND THEORY Background theory has mostly been covered elsewhere, but was briefly reviewed. The following topics form part of it: circuit parameters vs. device parameters; kernel current density and related equations; technical completeness; universal field emission variables and equations; physical fields and pseudo-fields; physical FEFs and pseudo-FEFs; FNplot slope correction factors; the concept of field electron emission orthodoxy; scaled variables and equations; the extraction of scaled-barrier-field values (f-values) from FN plots, and their use in the orthodoxy test; test validation. III. APPLICATION OF THE ORTHODOXY TEST. The orthodoxy test uses the f-value range extracted from a FN plot by means of orthodox FN-plot interpretation theory. For emitter work-function φ=4.50 eV, the test criteria currently used are as follows. If the whole of the extracted range is inside the "apparently reasonable" range 0.15≤f≤0.45, then the test is passed; if any part of the extracted range lies in one of the "clearly unreasonable" ranges f≤0.10, or f≥0.75, then the test is failed and emission is presumed non-orthodox; with intermediate situations, the test is undecided, and further investigation is needed. Extracted values of (what authors presume to be physical) FEFs are unreliable if the test is failed or undecided, and are probably incorrect if the test is failed. Test criteria for other φ-values are given elsewhere [2,3]. The orthodoxy test has been applied to 17 FN plots, for a range of field emitting materials. Table 1 shows some typical results. About 50% of tested plots failed. The most obvious features are that: (a) carbon-based materials often (but not always) pass the test; (b) materials failing the test include four with high reported FEF-values; but (c) an In2O3-decorated emitter both passes the test and has a high-FEF value. Fuller details are presented elsewhere [2]. Conclusions we draw are: (1) when researchers are looking for high-FEF emitters, the orthodoxy test should always be applied; (2) there would be merit in applying the test systematically to published literature, to look for trends; (3) there would be merit in a convention that the orthodoxy test is normally applied to FN plot data before publication, and the result is reported (perhaps in the caption to the diagram).
Table 1. Results of applying orthodoxy test to selected FN plots. A single asterisk shows the extracted f-value is not "apparently reasonable", a double asterisk that it is "clearly unreasonable". Material
f low
f up
Mo emitting cones, in Spindt array Flexible SnO2 nanoshuttle, low-f section Flexible SnO2 nanoshuttle, high-f section CNT on tungsten needle CNT mat on silicon, low-f section CNT mat on silicon, high-f section CNT-in-polymer composite, 20% loading CNT-in-metallic-glass composite ZnO nanorod array In2O3-decorated Ga2O3 nanobelt CdS nanotip array
0.36 **5.60 **0.76 0.32 0.14 **1.23 0.15 *0.54 **0.87 0.20 *0.68
*0.73 **33.2 **1.03 0.42 0.18 **1.78 0.23 **0.87 **1.66 0.38 **3.98
V. DISCUSSION
Test failure does not necessarily reflect unfavorably on emitter properties. If the failure is due to series resistance, then this could mean the emitter has "built-in ballasting", which might be a useful property in some applications. The issue, rather, is how to characterize emitters correctly. IV. SLOPE CORRECTION FACTORS AND SERIES RESISTANCE In principle, in the common context of parallel-plate supporting-electrode geometry, the formula for extracting a value of the true physical FEF γ from the slope SFN[im,Vm] of a FN plot made using measured current im and voltage Vm is
γ = – σSR·bφ3/2d / SFN[im,Vm] ,
(1)
where b is the Second FN Constant, d is the electrode separation, and σSR is the slope correction factor for the series resistance situation. In practice, this formula is useful only if σSR has an identifiable range where it is nearly constant. Since some reported test failures are undoubtedly associated with series-resistance-type saturation effects, we have investigated whether usable values of σSR can be found by circuit analysis. We suppose that a constant resistance Rs is placed in series with a field emitter for which the device characteristics can be approximated by the scaled FN-type equation for the Schottky-Nordheim barrier (JkSN is the kernel current density):
id = Af J kSN ≈ Af θ f 2 exp[−η{1/f − 1+ (1/6)ln f }] ,
(2)
where Af is the device formal area, and η and θ have their usual meanings [4], and their values for φ=4.50 eV. The measured current im equals id, and the measured voltage Vm is Vm = idRs + Vd = idRs + fFRζC
Three main conclusions can be drawn. (1) The predicted FN plots in Fig. 1 are "kinked", as are experimental "saturated" plots, but detailed shapes do not closely resemble experimental plots. This raises doubts as to whether using a constant series resistance is reasonable. (2) In Fig. 2, σSR is usefully constant only in the low-voltage (high 1/Vm) regime at the right-hand-side of the plots, so (in the constant-seriesresistance model) this approach will not work in the highvoltage (low 1/Vm) regime.
It is possible (though not guaranteed) that σSR would behave better if we found a realistic model for the current dependence of series resistance: explorations into resistance models might be useful. Other approaches that might lead to better estimates or characterization of physical FEFs (but probably only in some cases) include: (1) using results only from the low-voltage (low field) regime; (2) developing methods based on observed onset voltage or field; (3) attempting to "linearise" device FN plots by subtracting a quantity imRs from Vm, in order to get the device voltage Vd, and then varying Rs until the FN plot involving Vd and id is "most nearly linear" according to some chosen criterion. This technique has been used for large-area field emitters, but is more highly developed for FN tunneling in MOS structures (e.g. [5]). Much simulation may be needed, to establish which techniques for extracting true FEF values will actually work. REFERENCES [1]
[2] [3]
[4]
[5]
R.G. Forbes, "Scaled form for kernel Fowler-Nordheim-type expression based on the Schottky-Nordheim barrier, and test for orthodoxy of field electron emission", Technical Digest, 25th International Vacuum Nanoelectronics Conference, July 2012 (ISBN: 978-1-4673-1981-2) (IEEE, Piscatory, NJ, 2012) pp. 282-283. R.G. Forbes, "Development of a simple quantitative test for lack of field emission orthodoxy", submitted for publication. R.G. Forbes, "Use of a spreadsheet to test for lack of field emission orthodoxy", Technical Digest, 26th International Vacuum Nanoelectronics Conference, July 2013. R.G. Forbes, "Extraction of emission parameters for large-area field emitters, using a technically complete Fowler-Nordheim-type equation", Nanotechnology 23, 095706 (2012). E. Miranda, "Method for extracting series resistance in MOS devices using Fowler-Nordheim plot", Electronics Lett. 40, 1153-1154 (2004).
(3)
where the characteristic device conversion length ζC [≡Vd/FC] relates the voltage Vd across the device to the characteristic barrier field FC at the emitter surface, and FR is the reference field corresponding to f=1. We take ζC as 100 nm. The variables im and Vm are parametrically dependent on f. Thus, FN plots of type [ln{im/Vm2} vs 1/Vm] can be predicted. p Fig. 1 shows plots for values of AfRs equal to 10 Ω m2, for the p-values shown. It is also possible to obtain an analytical expression for σSR, and evaluate this using the software package MAPLE. Fig. 2 shows the resulting plot, for p= –1.
Fig. 1. FN plots for measured current and voltage (im and Vm). Plots labeled p with p−value, where AfRs =10 Ω m2.
Fig. 2. Slope correction factor σSR, for FN plot in Fig. 1 labeled with p= –1.
Imaging graphene pseudo-spin via field electron emission Zhibing Li State Key Laboratory of Optoelectronic Materials and Technologies, School of Physics and Engineering, Sun Yat-sen University, Guangzhou, P.R. China 510275 Abstract A new theoretical approach to field electron emission [1] that can reveal the relative phases of atoms involved in the emission will be introduced. Field emission images of graphene, with and without magnetic field, are given as examples. It is shown that the emission image patterns are sensitive to the edge potential shift that can be controlled via a gate voltage on the edge. The image contains the information of relative phase of two sub-lattice of the graphene, thus it may provide a possible mean to manifest the pseudo-spin polarization. The field emission of graphene as a vacuum coherent line electron source would have novel applications in holography and e-beam lithography. Acknowledgement: The project is supported by the National Natural Science Foundation of China (11274393) and the National Basic Research Program of China (2013CB933601)
References [1] Zhibing Li, Ningsheng Xu and H.J. Kreuzer, 85, 115427 (2012)
Electrical characteristics of non-linear-barrier field emission: an attempt for a Fowler-Nordheim-like equation to be used with experimental data A. Kyritsakis and J. P. Xanthakis Department of Electrical and Computer Engineering, National Technical University of Athens, Zografou Campus, Athens 15780, Greece e-mail:
[email protected] Abstract—It is well-known that for sharp emitters the traditional Fowler-Nordheim equation (FNE) is inadequate. In this paper we give a correction to the FNE. We include a second-order quadratic term to the potential and calculate numerically the Wentzel-Krammers-Brillouin (WKB) integral, thus obtaining the transmission coefficient and the corresponding current density. By fittings to the numerical results, we derive an expression giving the current density as a function of the electric field F, the work function W and the radius of curvature R. If this FowlerNordheim-like equation is used with experimental data from sharp field emitters to extract one of these parameters, it will give much more accurate results than the classical Fowler-Nordheim equation. We note that it may be used especially to extract the radius of curvature R of the emitter. Keywords: Fowler-Nordheim equation; sharp field emitters; non-linear barrier; WKB integral.
I.
INTRODUCTION
The main assumption behind the Fowler-Nordheim equation (FNE) is that the electrostatic (Laplace) potential near the emitter is linear and hence the only relevant parameter is the local electric field F. For modern field emitters with radius of curvature R>L=length of the forbidden region, then only the linear term is significant within x 20 nm, being composed from amorphous to nanogranular materials (NGM), e.g. with gold or platinum crystals of 2 to 5 nm diameter embedded in a Fullerene matrix. Those compounds are generated in general by secondary or low energy electrons in layers of inorganic, organic, organometallic compounds absorbed to the sample. Those are converted into nanogranular materials by the electron beam following chemical and physical laws, as given by “Mother Nature”. Precursors delivering Mo, Cr or W from hexacarbonyls, however, deliver almost amorphous deposits, which behave like metal conductors, and can carry 1 MA/cm² to 0.1 GA/cm² without destruction of the materials[3,4,5,6,7] II.
Connecting NGM to 3D-electron gas materials
The area connecting the nanogranular material with a metal with a 3-dimensional electron gas needs to be designed, because the flowing current is limited by the number of parallelCoulomb-Blockade channels across the interface.. Conduction in NGM is characterized by material structure of a nano-granular metal /C compound itself, in which electron
Nanogranular composites
On the other hand, nanogranular composites like Au/C or Pt/C with metal nanocrystals embedded in a Fullerene matrix have hopping conduction with 0-dimensional Eigen-value characteristics and can carry “Giant Current Densities” and
Fig. 3: Deposited tips using JEOL 840F Cold W -FE source 20 kV 3 nA. Left: Dimethyl-Gold-Trifluoro-AcetylAcetonate, Crystal diameter 3-4 nm. Right: Cyclo-
Pentadienyl-Platinum-Trimethyl Crystal diameter 1.8- 2.1 nm. TEM images by R. Scholz MPI Halle. energy level is quantized because of electron confinement in nanometer size particles. The current flow with discrete charge is possible due to the small geometry of the crystals, see figure 4.
Fig. 4: Discrete or continuous charge in quantum dots versus temperature and region for NGM –nano-granular materials[8]. III. Surface Electron Orbital Energy States Energy gaps estimated by the simple Bohr’s model showed a good agreement with observed activation energy for hopping conduction (about 125 meV for 2-nm-Pt/C NGM and 60 meV for 4-nm-Au/C NGM). The basis for a theoretical explanation of the phenomenon needs to consider the geometry quantization of electrons and their surface orbitals around the nanocrystals, as well as hopping conduction between isolated nanocrystals[9]. For crystal diameters of 2 nm ( Pt/C) E = 130 meV is calculated. Experimentally was measured E = 125 meV for variable rage hopping activation. For Crystals of 4 nm ( Au/C):
E = 65 meV is calculated. Experimentally was measured E = 75 meV for variable rage hopping activation[6]. Table 1: Comparison of the capability to carry currents for High TC superconductor materials and for by FEBIP generated nano granular materials Table 1: Comparison of the capability to carry currents for High TC superconductor materials and for by FEBIP generated nano granular materials
IV Conclusions Since all experimentally measured current densities surmount the High-TC Superconductor capability, see Table 1, however, it is suggested that some macroscopic strongly-correlated charge phenomena need to be accounted for in the NG materials, such as Bose-Einstein Condensation (BEC) of charge pairs into Bosons as well as superconductivity as explained by Bardeen, Cooper, Schrieffer (BCS). Also a photon induced current has been measured with white light, and lately with red light, indicating a high photonquantum harvesting capability[10]. The technical exploitation of NGM by FEBIP allows many ground breaking applications for miniaturized and macroscopic structures and systems in the areas of electronic signal generators, light sources/detectors and vacuum nanoelectronics devices with massively parallel beams.
[1]Nanofabrication using focused ion and electron beams , Oxford University Press ( I. Utke, S. Moshkalev, P. Russell editors) 2012 2 [ ]H.W.P. Koops, J. Kretz, M. Rudolph, M. Weber, G. Dahm. K.L. Lee, "Characterization and application of materials grown by electron beam induced deposition", Jpn. J. Appl. Phys. Vol. 33 (1994) 7099-7107 Part. 1 No. 12B, December 1994 3 [ ]J. Kretz, M. Rudolph, M. Weber, H.W.P. Koops, "Three Dimensional Structurization by Additive Lithography, Analysis of Deposits using TEM and EDX, and Application for Field Emitter Tips" Microelectronic Engineering 23(1994)477-481 [4]] F. Floreani, H.W. Koops, W. Elsäßer, Concept of a miniaturised free-electron laser with field emission source, Nuclear Instruments and Methods in Physics Research A 483 (2002) 488-492 [5]K. Edinger , private communication EIPBN 2001 Washington DC [6]H.W.P. Koops, C. Schössler, A. Kaya, M. Weber, "Conductive dots, wires and supertips for field electron emitters produced by electron-beam induced deposition on samples having increased temperature" J. Vac. Sci. Technol. B14(6) (1996) 4105 [7]] J. Sellmair, private communication 2005, and FEBIP first workshop 2006 at Delft, NL [8]Kazuno Yano, T. Ishii, T. Hasimoto, T. Kobayashi, F. Murai , K Seki, “Room temperature Single Electron Memory, IEEE Transactions on Electron devices, Vol 41, No. 9, September 1994 p. 1628 – 1638, Figure 2 [9]H.W.P. Koops, C. Schössler, A. Kaya, M. Weber, "Conductive dots, wires and Supertips for field electron emitters produced by electron-beam induced deposition on samples having increased temperature" J. Vac. Sci. Technol. B14(6) (1996) 4105 [10] Hans W.P. Koops „ Focused electron beam induced processing, a technology to develop and produce miniaturized electron-, IR, THz-, X-ray sources, high resolution detectors and sensors for IR- and X-ray tomography” Eurosensors 2012 Krakow Technical digest Elsevier 2012 M1C-3
Field emission from surface textured extraction facets of GaN light emitting diodes R. Schreiner*, C. Langer, C. Prommesberger
S. Mingels, P. Serbun, G. Müller
Faculty of Microsystems Technology Regensburg University of Applied Sciences D-93051 Regensburg, Germany
[email protected]
FB C Physics Department University of Wuppertal D-42119 Wuppertal, Germany
[email protected]
Abstract — We report on the field emission properties of GaN LED surfaces. The textured extraction facet acts both as light scattering layer in order to increase the light extraction efficiency of the LED as well as nanostructured cathode surface for the field emission (FE) of electrons. The LED emits blue light with a peak wavelength of around 450 nm. The FE properties were investigated by a scanning microscope. Integral measurements as well as regulated voltage scans for 1 nA FE current over an area of 400 x 400 µm2 were used to investigate both overall and local FE properties. A high number of well-distributed emitters with an average field enhancement factor of 85 and stable integral emission currents up to 100 µA at an electric field of ~ 80 V/µm (Øanode = 880 µm) were found. Photo-field-emission spectroscopy (PFES) using a tunable pulsed laser revealed an enhanced photo absorption of the InGaN/GaN quantum well structures near the emission wavelength of the LED (4.1 eV) photoemission from the GaN surface was observed. Keywords - field emission cathode, GaN, photo-field-emission
I.
INTRODUCTION
In [1] we demonstrated that Si-tip arrays are good candidates to fulfill the specific requirements of various integrated vacuum microelectronic device applications, e.g. sensors, due to their excellent field emission uniformity and homogeneity. Besides Silicon GaN is one of the most important semiconductor materials for industrial applications, e.g. light emitting diodes, laser diodes and high temperature or high-power density electronics. GaN is a promising candidate for integrated field emission (FE) devices due to its high carrier mobility and high electric breakdown field as well as its outstanding physical and chemical stability [2]. Silicon is an opaque indirect band gap semiconductor (1.12 eV) which absorbs the complete spectrum of visible light, whereas GaN is a complete transparent direct semiconductor (3.4 eV) which absorbs light only at very short wavelengths. Due to their contrasting light absorption properties, these two materials are perfectly suitable to investigate the fundamental photoenhanced field emission effects from semiconductor surfaces [1, 3]. The ability to fabricate well defined InGaN/GaN heterostructures, containing quantum-well or –dot structures allows a systematic modification of the band gap and of both electrical and optical properties. The high maturity of GaNsemiconductor technology enables integration with other GaNbased devices, e.g. highly efficient short wavelength LEDs, for photo-assisted field emission (PFE) or direct optical
modulation of the field emission current. These properties make GaN a very promising candidate for novel integrated vacuum microelectronic devices. II.
FABRICATION
An n-side up GaN LED has been fabricated by thin film technology [4, 5]. The process starts with epitaxial growth of the complete vertical structure including multi-quantum-wells, followed by metallization layers which act simultaneously as high reflection mirror, electric contact and solder layer for the subsequent bonding process. The structure is eutectically bonded onto a Ge carrier wafer and the epitaxial layer is separated from sapphire by laser lift-off, where a laser beam at a wavelength below the GaN emission wavelength is used to thermally decompose the GaN buffer layer into nitrogen and metallic Ga [5]. Heated KOH solution was used to roughen the n-GaN surface [4]. Here the textured GaN-surface of the light emission facets acts both as light scattering layer in order to increase the light extraction efficiency of the LED as well as a nanostructured cathode surface for the FE. As can be seen from the SEM micrographs in Fig. 1, the anisotropic etching of the n-GaN surface forms randomly distributed tip-shaped surface structures of arbitrary height with hexagonal footprint, an aperture angle of approx. 60° and tip heights in the µm- and tip radii in the nm-range. Based on a geometrical model, field enhancement factors in the range β ≈ 50…100 can be estimated for the highest and thus dominating n-GaN field emitters [6]. In the last step the n-contact top metallization is formed by thermal evaporation of silver using a shadow mask to protect the emission facet of the LED.
Figure 1. SEM images of the roughened n-GaN surface: a) top view; b) tilted view (60°). The following values result: tip height: 0.5-1.5 µm, apex radius below 50 nm, apex angle ~ 60°, estimated number density of tips 106/mm2.
III.
CHARACTERIZATION
First, the LED structure was operated in forward direction using both top and bottom contacts and emits blue light with a
peak wavelength of ~ 450 nm. This corresponds to photon energies of ~ 2.8 eV, as defined by the multi-quantum well structure. Light extraction efficiencies up to 80% have been achieved for optimized structures using similar fabrication technologies [4, 5].
the sample holder was cooled by liquid nitrogen. Due to this cooling, the band gap energy is shifted towards 3.5 eV. Below this energy GaN is transparent for the incident photons whereas absorption in the InGaN/GaN quantum wells occur, which leads to a significant increase of carriers in the conduction band and thus to an increase of FE. Above the band gap energy of GaN the absorption in the thick roughened GaN topcoat layer increases, but the number of carriers is much lower than that in the deeper quantum well structure. Therefore, the number of electrons in the conduction band and thus the FE is reduced. For photon energies above the electron affinity (~ 4.1 eV), however, photoemission of electrons from the conduction band starts which leads to the increase of the QE again.
Figure 2. Regulated voltage map for 1 nA over an area of 400x400µm2 of the roughened n-GaN cathode measured with Øanode = 15 µm at ∆z = 20 µm. The resulting number density of strong emitters is ~ 104/mm2.
As next step the FE properties of the extraction facets were investigated by a field emission scanning microscope (FESM) under ultra high vacuum conditions (p < 10-7 Pa) [1] using the top contact of the LED structure as cathode and setting both contacts of the LED on the same potential. As shown in Fig. 2, well-distributed FE was obtained, i.e. nearly all of the tips in Fig. 1 (~ 106 tips/mm2) are potential emitters. The actual FE at a given cathode voltage, however, will be dominated by the highest tips of reduced number density (~ 104/mm2). A conical anode of 880 µm diameter at a gap z of ~ 12 µm was used for integral FE current-voltage measurements in several spots. A typical result is shown in Fig. 3, from which an average βfactor of ~ 85 was derived (for given work function of 4.1 eV) which is in agreement with estimations based on the geometry of the tips. Maximum FE currents up to 100 µA corresponding to a current density of ~ 16 mA/cm2 were obtained at an electric field of ~80 V/µm which also revealed a high stability (Fig. 3 inset).
Figure 4. Dependence of the quantum efficiency on the pulsed laser energy and electric field for a roughened GaN cathode at cryogenic temperature.
ACKNOWLEDGEMENT The work at RUAS and UW was supported in parts by the German Federal Ministry of Education and Research (BMBF projects 03FH004PX2 and 05K10PXA resp.). The author of this article assumes responsibility for its content. REFERENCES [1]
[2]
[3]
Figure 3. Typical FN-plot of the integral FE current from the roughened nGaN cathode (Øanode = 880 µm at ∆z = 12 µm, up- and down cycle) at room temperature. The inset shows the mid-field current stability.
Finally integral PFES measurements of such a roughened GaN cathode were performed using a tunable pulsed laser (hν = 0.5-5.9 eV, pulse length 3.5 ns) at moderate electric field levels (< 10 V/µm). In Fig. 4 a increased quantum efficiency QE per incident photon is revealed both below the band gap energy as well as above the electron affinity. In order to reduce the number of thermally excited carriers in the conduction band,
[4]
[5]
[6]
P. Serbun, B. Bornmann, A. Navitski, G. Müller, C. Prommesberger, C. Langer, F. Dams, R. Schreiner, “Stable field emission of single B-doped Si tips and linear current scaling of uniform tip arrays for integrated vacuum microelectronic devices”, J. Vac. Sci. Technol. B 31(2), 02B101, pp. 1-6, 2013. Y. Choi, M. Michan, J. L. Johnson, A. K. Naieni, A. Ural et. al. , “Fieldemission properties of individual GaN nanowires grown by chemical vapor deposition”, J. Appl. Phys. 111, 044308, pp. 1-6, 2012. D.K. Schroder, R. N. Thomas, J. Vine, H. C. Nathanson, “The semiconductor field-emission photocathode”, IEEE Trans. Electr. Dev., vol. 21, pp. 785-798, 1974. S.-H. Huang, R.-H. Horng, S.-C. Hsu, T.-Y. Chen, D.-S. Wuu, “Surface texturing for wafer-bonded vertical-type GaN/mirror/Si light-emitting diodes”, Jpn. J. Appl. Phys., 44, pp. 3028-3031, 2005. V. Härle, B. Hahn, S. Kaiser, A. Weimar, S. Bader, F. Eberhard, A. Plössl, D. Eisert, “High brightness LEDs for general lighting applications using the new ThinGaNTM-Technology”, Phys. Stat. Sol. (a) 201, 12, pp. 2736-2739, 2004. C. Langer, C. Prommesberger, F. Dams, R. Schreiner, “Theoretical investigations into the field enhancement factor of silicon structures for field emission”, Proc. of IVNC 2012.
HfC(310) High Brightness Sources for Advanced Imaging Applications W.A. Mackie, J.M. Lovell, T.W. Curtis, and G.G. Magera Applied Physics Technologies, Inc. 1600 NE Miller St., McMinnville, OR 97128
[email protected]
Abstract— We report using a Philips XL40 SEM to demonstrate the performance of HfC(310) emitters operating in extended Schottky mode. Higher brightness and smaller spot sizes were obtained over commercial Schottky emitters operating under identical conditions. Keywords—hafnium carbide, Schottky electron source
I.
INTRODUCTION
Thermionic emission and cold field emission are ends in a continuum of electron emission processes; between lays extended Schottky emission (ESE) and thermal-field emission (TFE). We work with transition metal carbide emitters which have high current capability, can be tolerant of moderate vacuum, and are capable of stable operation over a large temperature range. HfC(310) provides a relatively low work function (~3.4 eV), has a low evaporation rate[1], is resistant to ion bombardment and sputtering, has a high melting point (~4000 K), and a very low surface mobility. Emission noise and fluctuations arise from thermodynamic instabilities with surface atoms moving due to field and chemical potential gradients. It is known that surface tension and field forces contribute to blunting or build-up on W field emitters. However, HfC emitters have activation energy for surface migration much large than for W. This coupled with loosely bound surface contaminants mean operation at elevated temperatures can keep the surface clean but not trigger geometric changes. II.
Fig. 1. Electrochemically etched rounded (~100 nm radius) end-form on left and artificially truncated (~220 nm diameter) end-form on right.
B. Modeling Experimental performance and modeling are reported for HfC(310) cathodes where emission is studied over a range of temperatures from 300 K or CFE mode to ~2000 K which covers TFE and SE modes. Reduced brightness, energy spread, and moderate stability values were obtained in CFE operation with energy spread (~310 meV) lower by a factor of two and reduced brightness (~3 x 108 A/m2/sr/V) higher by a factor of five than a Zr/O/W Schottky source. Operation in extended Schottky mode resulted in electron optical reduced brightness levels to ~8 x 109 A/m2/sr/V, roughly 10-100x higher than commercial Schottky sources, We use (1) to calculate brightness where I’ is angular intensity, rv is the virtual source radius obtained from modeling, and VE is the beam voltage.
EXPERIMENTAL
A. Rounded or Truncated End-forms Typical Zr/O/W SE sources are processed to facet the (100) plane at the apex2. This faceting occurs due to migration of the underlying tungsten substrate. However, the physical properties of HfC preclude migration and hence naturally occurring faceting. However, we can artificially facet or truncate etched CFE emitters, shown in Fig. 1, and operate in SE mode using standard electron optical configurations. The relative emitting area is slightly larger for the truncated vs. the rounded end-form given the same sized aperture. Larger area translates to more emission sites which can mean less emission or flicker noise. Conversely, the rounded endform would yield a much higher optical brightness. Financial support in part was provided by the Air Force Research Laboratory.
(1) Using measured I’ data we obtain brightness values shown in Table I where the ZrO/W data were obtained from [2]. TABLE I. BRIGHTNESS COMPARISION Emitter Type Zr/O/W(100) Zr/O/W(100) Zr/O/W(100) HfC(310) HfC(310)
Radius (nm) 300 (facet) 500 (facet) 1000 (facet) 365 (round) 400 (trunc.)
rv (nm) 8.5 13 28 2.4 3.5
Br (A/m2/sr/V) 2.4 x 108 9.4 x 107 1.9 x 107 7.5 x 109 3.6 x 109
III.
RESULTS AND DISCUSSION
HfC(310) emitters with several end-form geometries were operated in a Philips XL40 FEG SEM and compared with Zr/O/W(100) emitters operated under similar conditions. A. P-Parameter To characterize the operation of the HfC sources in the SEM, we obtained a “performance parameter” or beam size [3]. The SEM was operated at 50 kX magnification and a line scan was generated over a sharp edge of a cleaved sample. Table II shows measured sizes for a conventional Schottky source and for three HfC sources, two truncated and one rounded as indicated. TABLE II SPOT PARAMETER COMPARISON Emitter Zr/O/W(100) HfC(310) – AF137 HfC(310) – AF130 HfC(310) – AF132
Truncation/radius D = ~300 nm D = ~200 nm D = ~125 nm R = ~150 nm
P-Parameter 26.4 nm 19.0 nm 13.2 nm 9.9 nm
B. Beam Current Due to the increased angular intensity, the smaller virtual sources size, and the rounded emitter end-form geometry we were able to obtain much higher beam currents with HfC as compared to Zr/O/W sources for identical SEM operating conditions. Fig. 2 compares Faraday cup measured beam currents using the larger 1200 m aperture.
surface diffusion and adsorption/desorption of adsorbate atoms. We measured virtually identical flicker noise using a emission test stand when comparing Zr/O/W and HfC Schottky sources over frequencies to 5 kHz. Beam current fluctuations over time were also measured in the XL40. Again similar levels were seen, for example when comparing a Zr/O/W emitter with a facet diameter of ~300 nm to a HfC emitter with a rounded radius of ~220 nm we obtained standard deviation values of ~0.04% and ~0.07% respectively. IV.
CONCLUSIONS
There are certainly several differences between Zr/O/W and HfC operation. Specifically the nature of the materials necessitates different emitter crystal mount methods; spot welding vs. the Vogel mount[4]. More heater power was needed for the HfC and more heat was generated in the gun which ideally needs to be reduced. Optimization of gun geometry is presently being addressed along with operation under different SEM settings. Rounded vs. truncated emitters are also being explored further. These HfC sources cannot be used as a direct replacement for Zr/O/W sources. However, with this work we have documented the potential for the HfC(310) source operated in extended Schottky mode. Broader angular intensities are possible since these sources are not dependent upon a supply function of Zr/O and hence can operate over a larger range of temperatures, fields, and pressures. Measured values have been as high as 75 mA/sr. Of greater importance is the potential for higher electron optical brightness. This is due primarily to the ability to use the rounded emitter end-form in the Schottky emission regime. ACKNOWLEDGMENT The authors would like to thank Dr. Dave Adler[5] for electron optical modeling and Joe Hancock for electronic and vacuum system support.
REFERENCES [1] [2] Fig. 2. Measured beam currents compared using the 1200 m aperture.
The same trend was obtained using the smaller 60 m aperture; roughly a 10x increase in beam current given the same column current or angular intensity. C. Noise The two types of fluctuations typical to the emission of electrons from an emitter surface are flicker and shot. The most impact comes from flicker noise which is related to
[3]
[4] [5]
W.A. Mackie and P.R. Davis, IEEE Trans. Electron Devices, 36, 220 (1989). L.W. Swanson and G.A. Schwind, in Handbook of Charged Particle Optics, edited by J. Orloff (CRC, Boca Raton, FL, 1997), Chap. 2, pp. 77-102. ”Standard practice for Scanning Electron Microscope Beam Size Characterization”, ASTM International, Designation: E 986-04, www.astm.org, 2004. www.a-p-tech.com . www.dlainstruments.com .
Fabrication of Titanium Oxide Field Emitter Array on Glass Substrate Takuo Nakatani, Satoshi Abo, Fujio Wakaya*, and Mikio Takai Center for Quantum Science and Technology under Extreme Conditions, Osaka University, Toyonaka, Osaka, Japan *
[email protected]
Abstract—A two-dimensional array of a Ti disk on an Au thin film on a glass substrate was fabricated. Patterning of titaniumoxide thin film seems to be effective to release stress and contribute to realization of uniform nanorods over large area. Keywords—titanim oxide; field emitter array; stress release
I.
INTRODUCTION
Various kinds of metal-oxide nanostructures are studied for field emitters as well as carbon nanotubes. Titanium-oxide is one of the most interesting materials among them because of the photocatalytic and photovoltaic properties. In our previous works, titanium-oxide nanorods were fabricated on a glass substrate for realizing less expensive and large-area emitters [1, 2]. However, the nanorods did not appear uniformly over large area. Cracks occasionally appeared in the sample surface. In this paper, fabrication of titanium-oxide field emitter array on a glass substrate is reported. Patterning of the titanium-oxide thin film might be effective to release stress and contribute to realization of uniform nanorods over large area. In addition, patterning is important for three-terminal devices and addressing the individual devices. II.
III.
RESULTS AND DISCUSSION
Fig. 1 shows scanning-electron-microscope (SEM) images of titanium-oxide disk array. On disks with a diameter of 5 m, cracks appeared and titanium-oxide nanorods were not grown. On disks with diameters of 10 m and 50 m, cracks did not appear and titanium-oxide nanorods were grown uniformly. Length of nanorods on a film without patterning was about 2 m, while those of nanorods on disks with diameters of 50 m and 10 m were 900 nm and 500 nm, respectively. Fig. 2 shows film thicknesses of samples without patterning, 50-m-diameter disks, and 10-m-diameter disks. The film thickness without patterning showed 30 % increase by the oxidation process, while it showed several % increase in the case of patterned film, i.e. disk. This may be due to the horizontal disk expansion in contrast with unpatterned samples. Patterning, therefore, may contribute to stress release, resulting in uniform synthesis of nanorods with shorter lengths than those without patterning. Field-emission current was observed from disks with diameters of 10 m and 50 m array at an applied electric field over approximately 10 V/m, although no emission current
EXPERIMENTS
A 200-nm-thick Au thin film was sputter coated on a glass substrate as a backside electrode. A two-dimensional array of a Ti disk on an Au thin film was fabricated by electron-beam lithography, sputter coating, and lift-off techniques. Diameter and pitch of disk arrays were 5−50 m and 25−250 m, respectively. The sample was immersed into an NaOH aqueous solution (10 mol/l, 80 oC) for 20 minutes for oxidation. After rinse in a weak nitric acid solution and distilled water, the sample was annealed at 500 oC for 1 hour in vacuum (~10-5 Pa). The film thickness was measured by a stylus profiler at each process step, i.e. after sputtering, rinse, and annealing. Fieldemission properties were measured in a vacuum chamber (~ 10-6 Pa). The gap between the anode and the sample and the highest applied voltage were 200 m and 4000 V, respectively, corresponding to a macroscopic field of 20 V/m.
(A)
(C)
(B)
10 m
2 m
1 m
100 m
20 m
10 m
Figure 1: SEM images of titanium-oxide array. Diameters of disk are (A) 50, (B) 10, and (C) 5 m, while pitches are (A) 250, (B) 50, and (C) 25 m.
大面積 直径50 m 直径10 m
1200
w/o patterning f = 50 m
800
f = 10 m
600 400 200 0
スパッタ直後 as sputtered
酸化処理後 after oxidized
3
10
10-m-diameter 直径10 m disks 50-m-diameter 直径50 m disks 5-m-diameter 直径5 m disks
2
Current Density (A/cm2)
height (nm)
1000
height (nm)
(A)
熱処理後 after annealed
10
1
10
0
10
-1
10
-2
10
-3
10
-4
10
-5
10
0
Figure 2: Film thicknesses of samples without patterning, 50m-diameter disks, and 10-m-diameter disks.
(B)
5 10 15 20 Applied Electric Field (V/m)
-28 直径10 m 直径50 m 直径5 m
-30
IV.
SUMMARY
Patterning of titanium-oxide thin film was demonstrated to realize uniform nanorod over large area. Disks with a diameter of 10 or 50 m showed uniform nanorod synthesis without any cracks. This may be due to the stress release by the patterning. Field-emission current was observed from these patterned titanium-oxide arrays. ACKNOWLEDGMENT This work was supported, in part, by a Grant-in-Aid for Scientific Research from the ministry of education, culture, sports, science and technology, Japan.
[2]
F. Wakaya, M. Miki. C. Fukuyama, K. Murakami, S. Abo, M. Takai, “Fabrication and electron field-emission properties of titanium oxide nanowire on glass substrate”, J. Vac. Sci. Technol. B 28 (2010), C2B24. F. Wakaya, T. Tatsumi, K. Murakami, S. Abo, M. Takai, T. Takimoto, Y. Takaoka, “Effect of ultraviolet light irradiation on electron field emission from titanium-oxoide nanostructures”, J. Vac. Sci. Technol. B 29 (2011), 02B110.
-2
-34 -36 -38 -40 0
0.2
0.4 0.6 1000/V
0.8
1
Figure 3: (A) Field-emission properties of patterned titaniumoxide field emitters. (B) F-N plot of the current-voltage characteristics.
REFERENCES [1]
-32
2
Ln(I/V ) (A/V )
was observed from 5-m-diameter disks as shown in Fig. 3(A). Fowler-Nordheim plot shows, as shown in Fig. 3(B), a straight line, indicating that field emission took place.
.
Non-Crystallization and Enhancement of Field Emission of Cupric Oxide Nanowires Induced by Low-Energy Ar Ion Bombardment Xiaomeng Song, Jun Chen* State Key Laboratory of Optoelectronic Materials and Technologies, Guangdong Province Key Laboratory of Display Material and Technology, and School of Physics and Engineering, Sun Yat-sen University, Guangzhou 510275, People's Republic of China * E-mail:
[email protected]
Abstract— The effect of Ar ion bombardment on field emission properties of CuO nanowires was investigated. A Kauffmann ion source was used to produce the Ar ion and bombard the CuO nanowires at the energy of 115, 215, and 415 eV. Noncrystallization and improvement of field emission properties of cupric oxide nanowires were observed. Lowest threshold field was obtained from nanowires after 215 eV Ar ion bombardment. The increase of field enhancement factor and decrease of work function may contribute to the enhanced field emission. Keywords-Field emission; ion bombardment; CuO nanowires; non-crystallization
I.
Scanning electron microscopy (SEM), high resolution transmission electron microscope (HRTEM) and Ultraviolet photoelectron spectroscopy (UPS) were carried out to explore the changes of morphology, structure and work function. Field emission measurements were carried out in an ultrahigh vacuum (~10-8 torr) system using a diode configuration. The distance between anode and cathode was about 400 µm.
INTRODUCTION
Field emission cold cathodes has the merits of low power consumption, fast response, long lifetime and so on. They have potential applications in various vacuum electronic devices, such as field emission display(FED) [1], X-Ray tube [2], neutralizer for ion engine [3], etc. As novel cold cathode materials, quasi one-dimensional nanomaterials include semiconductor nanowires have been extensively studied recently due to their large aspect ratio and unique electronic properties. Among them, CuO nanowires have attracted much attention due to their stable and uniform field emission property. Remarkably, CuO nanowires can be easily synthesized through thermal oxidation on glass substrate and the application in FED has been reported[4]. In this study, we investigate the effects of Ar ion bombardment on morphologies, structure and field emission properties of CuO nanowires. II.
ions were produced by the ion source and bombard the sample surface. The energy of Ar ion was set to 115 eV, 215 eV and 415 eV, respectively. The ion density was ~ 2.8×1015 cm-2 s-1. The bombardment time was 60 min. The incident angle of Ar ion is normal to the sample.
EXPERIMENTAL DETAILS
CuO nanowire was prepared using a thermal oxidation method [5]. After being cleaned by acetone, ethanol and deionized water, the copper substrate was oxidized in a tube furnace under atmospheric environment. The furnace was heated up to 400 ºC and kept at that temperature for 3 hours. A layer of oxide with CuO nanowires on top was formed during this process. Then the oxidized copper substrate was put into a vacuum chamber with a Kauffmann ion source. Ar
III.
RESULTS AND DISCUSSION
Fig. 1(a)-(d) show the SEM images of nanowires before and after different energy ion bombardment. A layer of high density CuO nanowires was formed on Cu substrate. The nanowires are relatively aligned perpendicular to the substrate. Fig. 1(b)-(d) shows the SEM image of CuO nanowires after Ar ion bombardment. From these images we found that nanowires become bent after ion bombardment. Besides, after 415 eV ion bombardment parts of the nanowires were broken. The density of nanowire becomes lower. Fig.2 compares field emission current density-applied field (J-E) characteristics of nanowires before and after ion bombardment. The threshold field (corresponding to the J of 100 µA/cm2) of different samples is shown in the inset of Fig. 2. We found that the nanowires after 215 eV ion bombardment had the lowest threshold field, ~6.5 V/m, which is much lower that obtained from samples before ion bombardment. Fig. 3 shows the field emission image before and after ion bombardment. The microstructure of nanowires was characterized by HRTEM. The results shows that the nanowire have perfect crystalline structure before ion bombardment. After bombardment the tip of the nanowire becomes sharper and atomic regular arrangement is broken, replaced by shortrange order.
Non-crystallization of material is usually observed after high energy ion-bombardment, typically above several keV, due to the ion implantation. The energy of the Ar ion we used in the experiment is low. This energy usually causes sputtering of the surface layer. Sputtering removes the atoms from the surface. It explains that after bombardment the tip of nanowires became sharpened. Also the ion irradiation induces defects at the surface, especially vacancies. These defects may diffuse into nanowires and lead to the noncrystallization of nanowires[6]. UPS was carried out to measure the work function of CuO nanowires, the work function values are about 4.5 and 4.35 eV for as grown and after 215 eV ion bombardment CuO nanowires, respectively. This is due to the surface reduction induced by the ion bombardment, which is further confirmed by the XPS results.
Fig.2 Field emission J-E curves of nanowires before and after different energy ion bombardment. Inset shows the variation of threshold field with ion energy used for bombardment.
According to the F-N equation, field enhancement factor (β) and work function are the two major factors influencing the field emission. After bombardment the nanowires became sharpened which leads to larger β. Besides, the work function decreased after bombardment. These two factors contribute to the enhanced FE properties of CuO nanowires. When the ion energy increases, the population density of nanowires becomes lower because the nanowires are sputtered away. This leads the observed increased threshold field. IV.
CONCLUSION
The effects of Ar ion bombardment on CuO nanowires were studied. An optimal ion bombardment energy was obtained. The increased β and decreased work function contribute to the enhanced FE properties of CuO nanowires.
Fig.3 Field emission images of the nanowires. (a) before bombardment, (b) after 115 eV ion bombardment, (c) after 215 eV ion bombardment, and (d) after 415 eV ion bombardment.
ACKNOWLEDGMENT The authors gratefully acknowledge the financial support of the project from the NNSFC (Grant No. 60925001), MOST of China (Grant No. 2010CB327703), Fundamental Research Funds for the Central Universities, ,the Science and Technology Department of Guangdong Province, and the Economic and Information Industry Commission of Guangdong Province.
REFERENCE [1]
[2] [3]
Fig.1 SEM images of the nanowires. (a) before bombardment, (b) after 115 eV ion bombardment, (c) after 215 eV ion bombardment, and (d) after 415 eV ion bombardment.
[4] [5]
[6]
W. B. Choi, D. S. Chung, J. H. Kang, H. Y. Kim, Y. W. Jin, I. T. Han, Y. H. Lee, J. E. Jung, N. S. Lee, G. S. Park, J. M. Kim, “Fully sealed, high-brightness carbon-nanotube field-emission display,” Appl. Phys. Lett. 75, 3129-3131(1999). D. Nicolaescu, S. Kanemaru, V. Filip, and J. Itoh, “Dual-gate electron emission structure with nanotube-on-emitter for X-ray generation,” Jpn. J. Appl. Phys. 41, 5551–5556(2002). K. L. Aplin, B. J. Kent, W. Song, C. Castelli “Field emission performance of multiwalled carbon nanotubes for a low-power spacecraft neutralizer,” Acta Astronautica, 64, 875-881(2008). R. Z. Zhan, Jun Chen, S. Z. Deng, N. S. Xu, “Fabrication of gated CuO nanowire field emitter arrays for application in field emission display,” J. Vac. Sci. Technol. B, 28, 558-561(2010). Y. W. Zhu, T. Yu, F. C. Cheong, X. J. Xu, C. T .Lim, V. B. C. Tan, J. T. L. Thong, C. H. Sow, “Large-scale synthesis and field emission properties of vertically oriented CuO nanowire films,” Nanotechnology, 16, 88-92(2004). C. H. Woo, C. B. So, “The effect of stress on point-defect diffusion in hcp metals and irradiation creep,” Philos. Mag. A,. 80, 12991318(2000).
Emission from Group IV Materials
Thermal Induced Dopant Re-distribution in Nano-Apex of Silicon Tip and Its Effects on Field Emission Performance Y F Huang a), Z X Deng a), J C She †, a), W L Wang a), C L Liang b), S Z Deng a), and N S Xu ††, a) a)
State Key Laboratory of Optoelectronic Materials and Technologies, Guangdong Province Key Laboratory of Display Material and Technology, School of Physics and Engineering, and b)Instrumental Analysis and Research Centre, Sun Yat-sen University, Guangzhou 510275, People’s Republic of China *E-mail: ††
[email protected]; †
[email protected]
Abstract—We report the findings on the thermal induced dopant redistribution in nano-apex of Si tip and its effects on field emission performance. Electron energy loss spectroscopy investigations found that the arsenic (As) dopants tend to gather on the tip apex during the thermal sharpening. It was found that the tip apex started distorting at a relatively lower apply macroscopic field (~0.6 V/nm) with an extremely low emission current (~1 pA). First-principle calculations showed that the replacement of Si to As lowers the threshold electric field for inducing the deconstruction of Si7 cluster, causing a change on the apex shape. Diamond like carbon thin film was coated on the apex to enhance the reliability and field emission performance.
the applied field. The distortion of the tip apex is a typical phenomenon that observed from all the 12 tested tips. It was found that the apex started to distort at a low macroscopic field of ~0.6 V/nm with an extremely low emission current of ~1 pA. The apex changed its tip shape into a nano-whisker-like structure. At the early stage of the test, the nano-whisker grew in length. Afterward, the appearance of the nano-whisker changed into a tree-like-whisker, and its length would still grow following the increase of the applied field.
Keywords—thermal sharping; nano-apex of Si tip; dopant redistribution; field emission
I.
INTRODUCTION
Silicon (Si) tip emitters have been explored for application of vacuum micro/nano electronic devices since 1980s. Great efforts have been devoted to improving their field emission performance, i.e. sharpening the tip apex by thermal oxidation to attain higher local field enhancement,[1] coating low work function materials on surface to lower the emission threshold field,[2] and optimizing the dopant to improve the conductivity.[3] Although significant progresses have been achieved on the fabrication and characterization of Si tips, the improvements on the reliability and emission uniformity in an array are still open issues. Here, we report the findings on the thermal induced dopant re-distribution in Si tip apex and its effects on field emission performance. II.
EXPERIMENTAL
An arsenic (As) doped 2-inch Si wafer was used as substrate. SiO2 (450 nm) was deposited on the wafer as mask layer for Si tip etching, followed by AR-N 7520 resist patterning using electron-beam lithography. The etching of SiO2 and Si was performed using an inductively coupled plasma system. The tip arrays were sharpened by thermal oxidation with dry oxygen at 1000 °C. The typical height (h) and apex radius (r) of the tip are ~1 m and ~5 nm, respectively. Field emission properties of 12 individual Si tips from an array were measured using a micro-probe anode in high vacuum (~1.0×10-4 Pa) at room temperature. Details about the testing system could be found elsewhere. [4] III. RESULTS AND DISCUSSION Fig. 1(a)-1(h) showed the typical SEM images of the distorted individual Si tip in sequence following the increase of
Figure 1. (a)~(h) The typical SEM images showing the distorted individual Si tip emitter in sequence follows the increase of the applied electric field. The inset of symbol “A” is the denotation of “Anode”. (i) The typical EELS spectrum of the nano-apex of a thermal sharpening tip. The inset is the corresponding background removed EELS spectrum. Fig. 2 showed the typical field emission I-E curves of 12 tested tips. The maximum pre-breakdown current obtained is ranged between ~0.4 nA to ~9.0 nA. It is clearly indicated that the field emission properties of the tips are lack of uniformity. The point of note here is that the distortion of apex was typically started at ~1 pA, which suggests that less joule-heated effect may be taken into account for the observation. Electron energy loss spectroscopy (EELS) was employed to investigate the As concentration on the nano-apex of a thermal sharpening tip. The typical EELS spectrum is given in Fig. 1(i). The atomic ratio of As to Si calculated from the corresponding background removed EELS spectrum (inset of Fig. 1(i)) is ~1:5, much higher than that of the primary Si substrate, i.e. ~1:100. The result suggested that the As dopants tended to gather on the tip apex. We take the thermal sharpening process into
account to the As dopant redistribution. Simulations based on Fick’s law were performed to understand the dopant redistribution mechanism. The results indicated that the As atoms (~7.0ⅹ1019 cm-3 in concentration), which were initially uniform in the Si tip, would gather on the tip-apex after the thermal annealing at 1000 °C, to reach a much higher concentration up to ~2.0ⅹ1021 cm-3. The dopant re-distribution may lead to the non-uniformity both in conductivity and field emission reliability of the tips in an array. This may be the reason for the observation of the non-uniformity in field emission characteristics.
Diamond like carbon (DLC) thin film (~3 nm in thickness), which has high chemical stability, was coated on the tip apex for the purpose to overcome the apex distortion and enhance the field emission. No significant morphology change (Fig. 4(a)-4(c)) was found from the DLC coated tips. Remarkable field emission current (~1.34 μA) was attained from an individual DLC coated tip (Fig. 4(d)), which is much higher than that of the uncoated Si tip (~9.0 nA; Fig. 2).
Figure 4. (a)~(c) The typical SEM images showing the morphologies of a DLC coated tip in sequence follow the increase of applied electric field. (d) The typical field emission I-E and F-N curves of the DLC coated Si tip. Figure 2. The typical I-E curves of 12 individual Si tips from an array. The inset is the corresponding F-N plots. Based on the experimental findings from the EELS investigations, numerical simulations based on First-Principle Calculations were performed to understand the distortion mechanism of the tip apex. The calculations were performed with density functional theory (DFT) implemented in DMol3. Fig. 3 showed the structural model of a Si7 cluster with three types of Si-Si/Si-As arrangements. It was found that the replacement of Si to As lowers the threshold electric field for inducing the deconstruction of Si7 cluster, causing a change on the apex morphology. It is worth noting that the simulated threshold deconstruction field of the primary Si7 cluster is 32 V/nm. It is decreased to 29 V/nm and 22 V/nm respectively when one Si atom at the bottom and in the middle plane of the cluster is replaced by an As atom. Because As atom is a donor center to Si, the closer the top Si atom to the As atom is, the larger concentration of free electrons is in it. It leads to a formation of a stronger electric dipole in the cluster and a relative larger electrostatic force on the Si7 cluster, causing an easy deconstruction. It may be the reason for the re-shaping of the tip apex observed in the field emission tests.
IV. CONCLUSION Both experimental and numerical simulation studies found that, the thermal induced dopant re-distribution in nano-apex of Si tip would lower the threshold deconstruction field, causing the morphology change of the apex in a relatively lower apply field (typically ~0.6 V/nm) with an extremely low emission current (~1 pA). DLC thin film was coated on the tip apex to enhance the reliability and field emission performance. ACKNOWLEDGMENT This work was supported in part by the projects from the National Key Basic Research Program of China (Grant No. 2013CB933601), the NNSFC (Grant No. 51272293, 61222111, 11104358, U1134006), the Science and Technology Department of Guangdong Province, the Economic and Information Industry Commission of Guangdong Province, and the Science & Technology and Information Department of Guangzhou City. JCShe thanks the support from FANEDD (Grant No. 200927), the Program for New Century Excellent Talents in University (NCET-10–0855), the Doctoral Fund of Ministry of Education of China (Grant No. 20120171110018). WLWang thanks the support from the Fundamental Research Funds for the Central Universities (No. 13lgpy34). REFERENCES [1] [2] [3]
Figure 3. Structural model of a Si7 cluster with three types of Si-Si/Si-As arrangements: (a) the primary Si cluster; (b) one Si atom at the bottom is replaced by an As atom; (c) one Si atom in the middle plane is replaced by an As atom.
[4]
M. Ding, G. B. Sha, A. I. Akinwande. IEEE Transaction on Electron Devices 49, (2002) 2333. J. C. She, H. Hao, N. S. Xu, S. Z. Deng, J. Chen, S. E. Huq, and L. Wang. Appl. Phys. Letts. 89, (2006) 233518. F. Dams, A. Navitski, C. Prommesberger, P. Serbun, C. Langer, G. Müller and R. Schreiner. IEEE Transaction on Electron Devices 59, (2012) 2832. J. C. She, Z. M. Xiao, Y. H. Yang, S. Z. Deng, Jun Chen, G. W. Yang, N. S. Xu, ACS Nano 2, (2008) 2015.
Self-Aligned, Gated Field Emitter Arrays with Integrated High-Aspect-Ratio Current Limiters Stephen A. Guerrera and Akintunde I. Akinwande Microsystems Technology Laboratories, Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology Cambridge, MA 02139
[email protected] Abstract—We report the fabrication of arrays of silicon field emitters with 1-micron pitch with integrated, self-aligned extractor gates and 10-micron tall high-aspect-ratio silicon vertical current limiters. Keywords—Field Emitter Arrays, Vertical Current Limiters, Vertical Ungated Field-Effect Transistors, Silicon.
I.
INTRODUCTION
Field emission cold cathodes are one of the brightest electron sources ever reported [1], making them an ideal source in a variety of applications, which includes microscopy, lithography, imaging, and the generation of terahertz and x-ray radiation. Field emitter arrays (FEAs), consisting of 2-D arrays of field emission sources are yet to be adopted in demanding applications because of emitter tip radius variation across an array and sensitivity to the state of the emitting surface, which result in spatial and temporal variations of emission current. The lack of robustness limits their applications even though they outperform competing cathode designs. If, however, solutions are found to the variation problems, FEAs could allow compact and efficient electron sources that would enable new electronic systems with improved performance while providing a vast reduction in size, cost, and complexity. To address the issues of reliability and uniformity, we previously demonstrated that a high-aspect-ratio silicon vertical current limiter (VCL) that is connected in series with each field emitter in a field emission array could regulate the supply of electrons to each emitter and result in uniform emission [2][3]; however, due to of the lack of an integrated extractor gate, these devices operate at high extraction voltages and 99% of the total emitted current are intercepted by the extraction gate. Large extraction gate voltages are required due to the low field factor, β (cm-1) and resulting in high Fowler-Nordheim (FN) slope bFN (V), arising from the large extractor gate − tip distance. To enable low-voltage, high anode efficiency operation, FEAs require an integrated and self-aligned extractor [4]. Figure 1 shows a linear relationship between turn-on voltage and the FN slope bFN holds for a wide range of silicon FEAs. Simulations indicate that by switching to an integrated This research was supported in part by DARPA award number N6600112-1-4212 (Program manager Dr. Joseph Mangano).
Fig. 1. Experimental relationship between turn-on voltage and bFN for silicon field emitters from a variety of sources in the literature. The dashed line is a simple linear regression of the plotted data. Inset: detail of boxed region.
extractor which reduces the extractor−tip distance, the turn-on voltage for our devices could be reduced from 120 V to between 10 and 20 V for 400 nm gate aperture. For FEAs with integrated high-aspect-ratio silicon VCLs and short pitch, fabricating devices with an integrated extractor poses a particularly daunting challenge, as the current limiters require an aspect ratio of at least 50:1 (100:1 are considered better). The resulting VCL-FEA tip structure has 100-nm diameter and is 10-μm tall with 1-μm pitch. The integration of an extractor gate requires the filling of the gaps between adjacent VCLs with a high-quality dielectric stack that is void-free and subsequent planarization. We report for the first time a fabrication process that enables self-aligned gated FEAs with integrated vertical current limiters using standard CMOS materials. II.
FABRICATION
Field emitter arrays with integrated vertical current limiters and extractor gates are fabricated using a process similar to the process reported in [3] for the fabrication of field emitter arrays without an integrated extractor gate. Starting with 150
mm n-type silicon wafers, a 0.25 μm thermal SiO2 etching mask was grown. Using an i-line wafer stepper, photolithography was performed to create arrays of 2000 × 2000 photoresist dots with 1-μm pitch and a diameter of approximately 500 nm. These photoresist dots were then used to pattern the etching mask using CF4/CHF3/Ar RIE. A short etch in an SF6 plasma was used to form the rough, coneshaped emitter tips before subsequent etching using a timemultiplexed SF6/C4F8 deep reactive ion etcher (DRIE) to form 10-μm tall VCLs. Any remaining photoresist and the polymer used for sidewall passivation during the etching process was immediately removed in an O2 plasma asher, and the etching mask was striped in 50% hydrofluoric acid. After an RCA clean, the emitters were sharpened via oxidation at 950 °C. Figure 2 shows an SEM micrograph of the sharpened emitters with oxide removed to examine tip structure. A series of steps was then taken to completely fill in the gaps between adjacent pillars with a dielectric material. First, a conformal, 800-Å thick undoped poly-silicon film was deposited. This poly-silicon is then oxidized to form an additional 180 nm of SiO2 and almost completely close the
gaps between orthogonal pillars. Due to the difficulty of controlling these steps precisely, subsequent depositions and oxidations of poly-silicon result in layers of un-oxidized polysilicon in the middle of the gap between adjacent VCLs, and even with very long oxidation times, it is impossible to completely consume this poly-silicon. Thus, to complete the fill-in of the gaps, a 1-μm deposition of low-stress silicon-rich silicon nitride in a vertical tube reactor was performed. This reaction, like the poly-silicon deposition process, takes place at high temperatures resulting in conformal deposition and complete gap fill, even with degenerate topology. To planarize the silicon nitride, chemical mechanical polishing (CMP) was performed, removing between 400 nm and 600 nm of the silicon nitride. A cross-sectional SEM micrograph of the resulting structure is shown in Figure 3(a). The film is not completely polished as exposure of the underlying SiO2 layer results in substantial dishing due to its much faster removal rate. A wet etch in 165 °C phosphoric acid selectively etched the nitride, exposing the oxide domes covering the emitters as showing in Figure 3(b). These domes are then used to form the aperture of the extractor gate. 500 nm of n-doped poly-silicon is deposited, and then CMP will be used to remove material and form the self-aligned gate apertures. Final release of the emitters will be performed in a 7:1 buffered hydrofluoric acid solution. Figure 3(c) shows an SEM cross-section of a completed device using a similar fabrication process. III.
Fig. 2. 2000 × 2000 array with 1 µm pitch of field emitters with integrated vertical current limiters. The thermal oxide is removed to show detail. Inset: detail of a single emitter.
CONCLUSIONS
The key challenge to integrating vertical current limiters and field emitter arrays has been the inability to fill in the gaps and build self-aligned extraction gates. We have developed a robust and scalable process that addresses the main obstacles to the fabrication of reliable and uniform field emitter arrays. This process could be modified to include thin-film surface coatings of the emitters to further enhance performance. ACKNOWLEDGMENT This work was performed using the MIT Microsystems Technology Laboratories and the authors wish to thank the staff for assistance in the fabrication of these devices. REFERENCES [1]
[2]
[3]
[4] Fig. 3. (a) cross-section showing tip detail after nitride planarization. (b) Oxide bumps visible after hot phosphoric acid dip. (c) Early work building self-aligned integrated gates.
J. D. Jarvis, B. K. Choi, A. B. Hmelo, B. Ivanov, and C. A. Brau, “Emittance measurements of electron beams from diamond field emitter arrays,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct., vol. 30, no. 4, p. 042201, 2012. L. F. Velásquez-García, S. A. Guerrera, Y. Niu, and A. I. Akinwande, “Uniform high-current cathodes using massive arrays of Si field emitters individually controlled by vertical Si ungated FETs - Part 2: Device fabrication and characterization,” IEEE Trans. Electr. Devives, vol. 58, no. 6, pp. 1783–1791, Jun. 2011. S. A. Guerrera, L. F. Velásquez-García, and A. I. Akinwande, “Scaling of high-aspect-ratio current limiters for the individual ballasting of large arrays of field emitters,” IEEE Trans Electr. Dev., vol. 59, no. 9, pp. 2524 –2530, Sep. 2012. M. Ding, G. Sha, and A. I. Akinwande, “Silicon field emission arrays with atomically sharp tips: turn-on voltage and the effect of tip radius distribution,”IEEE Trans. Electr. Dev., vol. 49, no. 12, pp. 2333 – 2342, Dec. 2002.
Doped diamond thin film electron sources for thermionic energy conversion Franz A. M. Koeck and Robert J. Nemanich
Jeff Sharp
Department of Physics Arizona State University Tempe, AZ USA
[email protected]
Marlow Industries, Inc. Subsidiary of II-VI Incorporated Dallas, TX USA
Abstract—Thermionic energy conversion is a process that allows direct conversion of heat into electrical energy without mechanically moving components. In a thermionic converter electrons from the emitter traverse a small gap, are collected by a counter-electrode, the collector, and a self generated voltage develops across the gap. We have prepared prepared an ultrananocrystalline diamond (UNCD) based thermionic electron emitter that exhibits a low effective work function of typically 1.4 eV. This was attributed in part to reduced band bending and to the negative electron affinity (NEA) surface. A thermionic energy converter comprised of 2 diamond electrodes were positioned to establish a 25 micron gap and the emitter which was operated at temperatures up to 700 Celsius with a self generated open circuit voltage of 0.35 V. The reduced power output of the device was in part attributed to space charge effects and diamond film resistivity. Utilizing surface ionization effects at the emitter by introducing atomic hydrogen into the converter gap resulted in significant power output increase. With atomic hydrogen in the gap, the converter was operated up to 750 Celsius indicative of efficient surface ionization for charge transfer as well as a stable NEA diamond surface. Keywords—Diamond, doping, chemical vapor deposition, thermionic electron emission, energy conversion
I.
INTRODUCTION
Vacuum thermionic energy conversion is based on the release and transport of electrons across a thermal barrier, typically a vacuum gap. This process was first demonstrated by Schlichter who observed that a platinum wire employed as a thermionic electron emitter became positively charged while a counter-electrode acquired a negative charge as electrons from the emitter were collected [1]. With macroscopic electrode separation charge transfer across the gap is reduced as the charge of the emitted electrons impede successive carriers from being released. This limiter to the electron emission current was investigated by Langmuir who observed that mercury vapor exhibits the ability to reduce and eliminate space charge effects by neutralization of the electronic space charge cloud by positive ions [2, 3]. In the absence of space charge the thermionic electron emission current is described by the law of Richardson - Dushman
This research is supported through the Office of Naval Research under Grant # N00014-10-1-0540.
which relates the emission current density J(T) to the emitter temperature T by means of the material work function, φ, Boltzmann constant, kB, and a materials constant, AR, referred to as Richardson or emission constant [4]. In a theoretical derivation for metal based thermionic emitters a value of 120 A/cm2 K2 for AR was reported [5]. This universality is contrasted by measurements of a wide variety of materials which presented a deviation from this value by an order of magnitude or more for non metallic materials [6]. The ability to control the surface work function of a material by application of thin adsorbate layers prompted development of feasible thermionic emitters and energy converters. Typical adsorbate materials like cesium and thorium were observed to enhance the electron emission current from a tungsten emitter by significantly reducing its work function by about 3 eV [7, 8] . One of the first applications of cesium vapor in the interelectrode gap of a thermionic converter was demonstrated by Gurtovoy et al. [8]. The improvement in thermionic converters was demonstrated with efficiencies of about 9% which could be increased to about 16% by more efficient space charge reduction [9]. This emphasized the role of efficient ion generation in the converter and the complexity involved in establishing an optimum vapor ambient. With this data Houston presented a theoretical analysis for the efficiency of a thermionic converter in good agreement with [9] and predicted maximum efficiencies exceeding 30% but limited to 90% of the Carnot efficiency [10]. In our approach to efficient thermionic energy conversion, thermionic electron emission is established from a low effective work function diamond based electron emitter. By enhancing the thermionic electron emission current through surface ionization of a gaseous species the thus generated transient negative ions can contribute to the total current across the converter gap and increase the total power output. II.
EXPERIMENTAL
Nitrogen doped diamond films were prepared by plasma assisted chemical vapor deposition with nitrogen as dopant source. The emitter structure was comprised of a nitrogen incorporated ultra-nanocrystalline diamond (UNCD) interface layer and a top nitrogen doped diamond layer. Sonication of the metallic substrate in a nanodiamond suspension promoted
UNCD morphology for the initial layer. Under the addition of argon in the gas phase re-nucleation was enhanced during growth and resulted in a low film resistivity [11]. For the top nitrogen doped diamond layer adjustment of growth parameters included establishing hydrogen gas flow, eliminating argon and reducing methane flow. An optical pyrometer recorded growth temperatures of 860 – 900 ºC. Film growth was concluded by hydrogen plasma treatment of the final structure to establish negative electron affinity (NEA) surface properties. Thermionic electron emission measurements were conducted in a UHV system with base pressure < 2 x 10-10 torr. The diamond emitter was mounted on a radiatively heated sample stage, and an optical pyrometer was employed to control sample temperature. Temperature dependent characterization was performed by recording the emission current utilizing a Keithley 2400-LV source-measurement unit with an applied bias of 20 V to eliminate space charge effects. A fitting procedure allowed extraction of material work function, φ, and Richardson constant, AR. In the thermionic conversion measurement configuration emitter and collector were separated by 25 µm thick BN spacers and the emitter temperature was increased to its operating point. Electrical contacts were made in vacuo and the output voltage was recorded. Power measurements were conducted by introducing a variable ohmic load across which the voltage drop was measured. The same UHV system allowed introduction of various gaseous species during emission measurements. Pressure in the measurement chamber was controlled by adjusting the gas flow rate through a mass flow controller and pumping rate of a turbo-molecular pump. III.
Fig. 1. Thermionic characterization of a UNCD based electrode to extract the work function φ according to the law of Richardson
RESULTS AND DISCUSSION
The effective work function of a diamond based emitter can be in part attributed to the ability of its surface to attain negative electron affinity (NEA) characteristics. Here, the vacuum level is positioned below the conduction band minimum eliminating a surface barrier for electron emission. It has been well established that the (100) and (111) diamond surface exhibit NEA properties when hydrogen termination of dangling bonds occur [12]. This establishes a surface dipole layer that results in a modified electrostatic potential outside the surface [13]. With a nitrogen incorporated UNCD layer in the emitter structure a low effective work function < 1.3 eV was reported by our group and attributed to control of band bending and Fermi level position [14]. Application in a thermionic converter also requires a low electrode resistivity in order to reduce power dissipation in the device. This was achieved by the preferential nitrogen incorporation in UNCD grain boundaries which establishes mid-gap states through which hopping or thermally activated conduction can occur [15]. In a thermionic energy converter the power output is defined by the open circuit voltage which is related to the difference in the work functions of emitter and collector. For a practical device the collector should exhibit a work function several tenths of eV smaller than the emitter work function. A suitable collector electrode was characterized with respect to the Richardson formalism and an effective work function, φC, of about 1.67 eV was derived from an accurate fitting procedure as shown in Fig. 1.
Fig. 2. Output power of a thermionic converter at various temperatures in vacuum and with atomic hydrogen in the interlectrode gap resulting in significant and stable power output at elevated temperature..
In a similar procedure the emitter electrode was evaluated and an effective work function, φE, of about 2.0 eV was computed from a data fit. With the above collector an electrode pair suitable for a thermionic converter was obtained. Separating the electrodes by 25 µm thick ceramic spacers established the inter-electrode gap and provided a thermal barrier from emitter to collector. As the emitter temperature approached 600 °C the self generated voltage across the gap saturated at about 0.3 V. This value was in good agreement with the work function difference of φE - φC = 0.33 eV. Upon introduction of an ohmic load into the circuit power dissipation in the resistor was computed and the corresponding power curve is shown in Fig. 2. The output power of the thermionic converter cell increased significantly with temperature, however, the reduced power output can be attributed in part to a finite electrode resistivity and space charge effects.
Atomic hydrogen was then introduced into the gap by operation of a hot filament from an ion gauge which generated atomic species from the molecular hydrogen supply. No significant change in open circuit voltage was observed. The significant output power increase was attributed to an increase in the observed device current, i.e. the increased charge transferred across the gap due to the surface ionization component of the negatively charged hydrogen atoms. Generation of an ion current upon scattering of a gaseous species from a suitable low work function surface has been described by Saha - Langmuir and relates the negative ion fraction to surface work function and electron affinity of the impinging molecule. Ionization occurs through a resonant tunneling process and has been described in detail elsewhere [16]. In the case of atomic hydrogen an electron affinity of 0.75 eV has been reported [17]. In conjunction with an observed work function of 2 eV, an established value for the NEA of 1 eV an alignment as shown in Fig. 3 can be schematized which could account for the ionization of hydrogen at the diamond surface. It should be noted that the resonant tunneling process benefits from a widening of the affinity level as the atomic species approaches the surface. A second critical observation in the operation of the thermionic converter cell in a diluted hydrogen ambient is the stability of its power output. As the emission barrier is related to the negative electron affinity a desorption of the hydrogen inducing this surface property would result in diminished emission which has been reported for polycrystalline diamond at temperatures exceeding 700°C [18]. Our observation indicates that the atomic hydrogen at the diamond surface establishes an equilibrium which preserves NEA properties at elevated temperatures.
work function surface of 1.67 eV was employed as collector in a thermionic energy converter. This device demonstrated the direct conversion of heat into electricity. The power output of the cell was significantly improved upon introduction of atomic hydrogen as the species acquired a negative charge at the emitter due to surface ionization and contributed to the total charge transferred across the gap. Additionally, atomic hydrogen at the emitter operating at a temperature of 750 °C was observed to prevent significant degradation of the NEA properties thus allowing stable device operation. REFERENCES [1] [2] [3]
[4] [5] [6] [7] [8] [9] [10] [11]
[12]
[13]
[14]
[15]
[16] [17] Fig. 3. Schematic of the energy level alignment of a nitrogen doped diamond surface and the affinity level of atomic hydrogen.
IV.
CONCLUSIONS
Thermionic electron emission from nitrogen doped diamond films observes the law of Richardson where a low
[18]
W. Schlichter, "Die spontane Elektronenemission glühender Metalle und das glühelektrische Element," Ann. d. Physik 47, 573-640 (1915). I. Langmuir, "The Effect of Space Charge and Residual Gases on Thermionic Currents in High Vacuum," Phys. Rev. 2, 450–486 (1913). I. Langmuir, "The Pure Electron Discharge. And its Applications in Radio Telegraphy and Telephony," Radio Engineers, Proceedings of the Institute of , vol.3, no.3, pp.261-286, Sep (1915). O.W. Richardson, “Electron Emission from Metals as a Function of Temperature,” Physical Review, vol. 23, 153–155 (1924). R.H. Fowler, "The Thermionic Emission Constant A," Proc. R. Soc. Lond. A 122 36-49 (1929). V.S. Fomenko, Handbook of Thermionic Properties. Plenum Press, New York, (1966). I. Langmuir and K.H. Kingdon, "Thermionic Effects Caused by Vapours of Alkali Metals," Proc. R. Soc. Lond. A 107 61-79 (1925). M.Y. Gurtovoy, and G.I. Kovalenko, "A Study of the Operation of a Diode in Cesium Vapor," Fizichni Zapiski, 9 240, (1941). V.C. Wilson, "Conversion of Heat to Electricity by Thermionic Emission," J. Appl. Phys. 30, 475-481 (1959). J.M. Houston, "Theoretical Efficiency of the Thermionic Energy Converter," J. Appl. Phys. 30, 481-487 (1959). J.E. Gerbia, J. Birrell, M. Sardela and J.A. Carlisle, "Macrotexture and growth chemistry in ultrananocrystalline diamond thin films," Thin Solid Films Volume 473, Issue 1, 41-48 (2005). J. van der Weide and R. J. Nemanich, "Angle‐resolved photoemission of diamond (111) and (100) surfaces; negative electron affinity and band structure measurements," J. Vac. Sci. Technol. B 12, 2475-2479 (1994). J. B. Cui, J. Ristein, and L. Ley, "Electron Affinity of the Bare and Hydrogen Covered Single Crystal Diamond (111) Surface," Phys. Rev. Lett. 81, 429–432 (1998). Franz A.M. Koeck, Robert J. Nemanich, "Low temperature onset for thermionic emitters based on nitrogen incorporated UNCD films," Diamond and Related Materials 18 232-234 (2009). J. Birrell, J.A. Carlisle, O. Auciello, D.M. Gruen, J.M. Gibson, "Morphology and electronic structure in nitrogen-doped ultrananocrystalline diamond," Appl. Phys. Lett. 81, 2235-2237 (2002). J.N.M. van Wunnik and J. Los, "Resonant Charge Transfer in Atom– Metal Surface Reactions," Physica Scripta. Vol. T6, 27-34 (1983). H. Hotop, W.C. Lineberger, "Binding energies in atomic negative ions," J. Phys. Chem. Ref. Data, Vol. 4, No. 3, (1975). W.F. Paxton, M. Howell, W.P. Kang, and J.L. Davidson, "Influence of hydrogen on the thermionic electron emission from nitrogenincorporated polycrystalline diamond films," J. Vac. Sci. Technol. B 30, 021202 (2012).
Photo Induced Electron Emission from Nitrogen Doped Diamond Films on Silicon Tianyin Sun, Franz A.M. Koeck, Aram Rezikyan, Michael M.J. Treacy, Robert J. Nemanich Department of Physics Arizona State University Tempe, AZ 85287, USA
Abstract— Results are presented on the photo-induced electron emission from nitrogen doped diamond films prepared on doped silicon substrates. In contrast to results for films on metal substrates, a significant increase of emission intensity was observed at elevated temperatures. The results suggest a contribution from photon enhanced thermionic emission. Keywords—nitrogen-doped diamond; photo-induced electron emission; thermionic electron emission
I.
INTRODUCTION
Diamond films are known for their property of obtaining a negative electron affinity (NEA) after hydrogen passivation [1]. The electron affinity is defined as the energy required to remove an electron from the conduction band minimum (CBM) of a semiconductor to vacuum. For nanocrystalline diamond n-type doping has been achieved by incorporation of nitrogen, with a donor level of nitrogen at 1.7 eV below the CBM [2]. NEA and n-type doping lead to lowering of the electron emission barrier, i.e. the effective work function. This enables low temperature thermionic emission from doped diamond films. For films on absorbing substrates, photoinduced electron emission has been observed with visible light excitation [3]. The configuration of a diamond film on a semiconductor substrate provides both thermionic and photogenerated electrons which are emitted into vacuum through the low work function surface. It has been proposed that with a proper film-substrate configuration, the photon enhanced thermionic emission (PETE) mechanism can substantially enhance the emission current at elevated temperatures [4]. At moderate temperatures both direct photoemission and PETE contribute to the emission. This research presents an investigation of photo-induced electron emission from nitrogen-doped diamond film on Si substrates. II.
In photo-induced emission experiments, a Xe arc lamp with associated band pass filters provides illumination with light from 320 nm to 600 nm. The combined photo-induced and thermionic electron emission spectra are recorded as a function of temperature, using a hemispherical electron analyzer. Computer based modeling is used to compare and understand the significance of the three different emission mechanisms: thermionic, direct photoemission, and PETE. III.
RESULTS AND DISCUSSION
Electron microscopy images (Fig. 1) indicate a continuous interface between Si and the (N)UNCD. A grain size of less than 10 nm is shown in the (N)UNCD layer. From UV photoemission spectra (21.2 eV excitation), a low effective work function ΦW (~ 1.5 to 2 eV) is observed for the diamond surface, which remains approximately constant with varying temperature. In contrast, the visible light photoinduced emission spectra exhibit a higher threshold energy which decreases at elevated temperatures, possibly due to the band offset at the diamond-Si interface. The intensity of the visible light photo-induced emission significantly increases with temperature, which is not observed for diamond films deposited on metal substrates [3] (as shown in Fig. 2).
EXPERIMENT
Diamond films are prepared on Si substrates by microwave plasma enhanced chemical vapor deposition (MPCVD). The films are deposited first with a layer of nitrogen incorporated ultra-nanocrystalline diamond ((N)UNCD) and then a top-layer of nitrogen doped diamond. Aberration corrected microscopy is employed to examine the C/Si interface and to reveal its role in emitter characteristics. This work is supported through the Office of Naval Research under Grant # N00014-10-1-0540.
Fig. 1. High resolution electron microscopy image of the C/Si interface.
The visible light induced electron emission mechanism involves photons passing through the diamond film due to its wide band gap, and generating photo-electrons in the Si substrate, or in the nucleation layer which has a higher density of sp2 bonds in the grain boundaries [7]. These results suggest that photo-generation at or near the diamond/Si interface contributes significantly to the observed electron emission characteristics. IV.
Fig. 2. Temperature dependence of photo-induced emission from diamond films on different substrates.
Comparison between experimental data and modeling results are shown in Fig. 3. The simulations are based on parameters collected from the actual sample. This N-doped diamond film on p-type Si is illuminated with 400 nm (3.1 eV) photons from the Xe lamp, and an emission barrier of 2.2 eV (ambient) to 1.9 eV (668K) is observed. While both models predict an enhancement with temperature, the experimental data show somewhat intermediate characteristics. The results suggest that a combination of direct photo-generation and PETE may describe the photo-induced emission.
CONCLUSIONS
Significant increase of photo-induced electron emission with elevated temperature has been observed from nitrogen doped diamond films on silicon substrates. The results differ from previously reported features of diamond emitters on metal substrates. Possible photon enhanced thermionic emission is suggested, while electron microscopy images and computerbased modeling indicate a complex generation process. The results indicate potential applications of such diamond emitters in concentrated solar cell systems for combined energy conversion. Engineering the interface remains as a challenge to enhance the electron generation and emission. Further studies will also include examination of different substrate candidates for optimized PETE configuration.
ACKNOWLEDGMENT We gratefully acknowledge the LeRoy Eyring Center for Solid State Science at Arizona State University for the use of the aberration corrected microscope and related equipments.
REFERENCES [1] [2]
[3]
[4] [5] [6] [7] Fig. 3. High resolution electron microscopy image of the C/Si interface.
J. van der Weide et al., “Negative-electron-affinity effects on diamond (100) surface”, Phys. Rev. B 60, 8 (1994). F.A.M. Koeck, R.J. Nemanich, “Low temperature onset for thermionic emitters based on nitrogen incorporated UNCD films”, Diamond. Relat. Mater. 18, 232-234 (2009). T. Sun, F.A.M. Koeck, C. Zhu, R.J. Nemanich, “Combined visible light photo-emission and low temperature thermionic emission from nitrogen doped diamond films”, Appl. Phys. Lett. 99, 202101 (2011). J.W. Schwede et al., “Photon-enhanced thermionic emission for solar concentrator systems”, Nat. Mater. 9, 762-767 (2010). N.B. Kindig, W.E. Spicer, “Band structure of cadmium sulfide photoemission studies”, Phys. Rev. 138, A 561-576 (1965). G.E. Jellison, F.A. Modine, “Optical functions of silicon at elevated temperatures”, J. Appl. Phys. 76, 3758 (1994). P. Achatz et al., “Optical properties of nanocrystalline diamond thin films”, Appl. Phys. Lett. 88, 101908 (2006).
A Monolithic Multi-finger Nanodiamond Lateral Vacuum Microtriode N. Ghosh, W. P. Kang*, S. H. Hsu, and S. Raina Department of Electrical Engineering and Computer Science Vanderbilt University Nashville, TN 37235, USA * Email:
[email protected]
Abstract—This article reports a vacuum multi-finger monolithic microtriode utilizing nanodiamond as the emitting material. The structure is comprised of 140-fingerlike nanodiamond emitters with built-in nanodiamond gate and Si anode. A mixed lithography patterning approach is used to fabricate the three-terminal device structure. Triode characteristics, demonstrating gate controlled emission current modulation at low operating gate and anode voltages, are obtained. The realization of the efficient monolithic microtriode allows further development of robust vacuum integrated circuit for application in high temperature and radiation harsh environments. Keywords—Nanodiamond; technology; Microtriode.
I.
Field
emission;
Vacuum
INTRODUCTION
Chemical vapor deposited (CVD) nanodiamond structures are interesting electron emitters because of their superior electronic properties and tolerance to operate at much higher temperatures and radiation harsh environments [1]. Other advantages of nanodiamond as emitters include chemical and electrical stability, high breakdown voltage, low turn-on electric field and excellent thermal conductivity [1]. Vacuum field emission triodes have been proposed, simulated and fabricated in the past [2], but practical application wasn’t possible because of their design complexity, high turn-on field and poor choice of materials. Previously, we have reported a single-finger micro-patterned nanodiamond lateral triode [3]. This single-emitter structure has limited practical application because of its low emission current and high gate modulation voltage. Nonetheless, we have observed no measurable changes in device structure and electrical response after high neutron and X-ray irradiation [3], signifying an emerging electronics for harsh environment applications. In this work, a multifinger nanodiamond lateral vacuum microtriode is fabricated for the first time using electron beam lithography (EBL) and optical lithography. The goal is to overcome the previous limitations by providing higher emission current at lower gate and anode voltages. II.
EXPERIMENTAL
The monolithic lateral vacuum triode is comprised of an array of uniformly spaced, high aspect ratio, 140 finger-like
nanodiamond emitters with integrated anode and gate. The fabrication process is based on multilevel mixed lithography approach. It started with the deposition of a uniform layer of nanodiamond (ND) film on silicon-on-insulator (SOI) substrate with 2 µm, 4 μm and 525 μm-thick of active, box and handle layer thickness respectively. Microwave plasma enhanced CVD (MPCVD) system with CH4/H2/N2 as precursor gases is used for diamond deposition. Next, an aluminum (Al) layer is deposited on the ND by e-beam evaporation. Then a singlemask optical lithography is used to delineate the contact pads. The exposed Al is etched away at room temperature followed by photoresist removal. EBL is then used to delineate the device structure on e-resist coated Al pad. After developing the pattern, Al dry etch is performed to get an improved etch profile. Then ND RIE is performed using the patterned Al as a mask to get the emitter structure. Next, EBL is introduced for the second time to write a straight line over the edge of the ND fingers sitting on active silicon (Si) layer covered with the same e-resist used before. After developing the pattern, RIE of Si is performed to expose box silicon dioxide (SiO2) layer selectively. Exposed SiO2 is later etched away. This has exposed the handling Si layer of the SOI wafer to the emitter tips. Finally, all the mask material is removed and active Si layer is etched away to provide isolation between the electrodes hence realizing the desired device structure. III.
RESULTS
SEM image of the fabricated device structure is shown in Fig. 1. The lateral triode with 10 μm spacing between the ND electrodes and 8 μm spacing between the ND fingerlike emitter and exposed handling Si was characterized for field emission in a common emitter configuration in a vacuum condition of ~10-7 Torr. The anode was used to turn-on the cathode which incorporates multiple nanodiamond fingered emitters, while the gate was employed to modulate the emission from the cathode to the anode. Taking the advantage of the device structure, the triode was operated in two modes of operation by interchanging the anode and gate. In the first mode of characterization the handling Si was used as anode and the other ND electrode as gate. Figure 2 displays the triode I-V characteristics of the nanodiamond lateral vacuum device at room temperature, indicating the anode current can be effectively controlled by low operating gate voltages. A large anode current (Ia) of ~27 μA was obtained at an Anode voltage
(Va) of ~60 V, when the gate bias (Vg) was 10 V. On the other hand, when negative 10 V was applied at the gate, Ia suppressed to ~12 μA at same Va. For the 3-terminal triode operation, the resultant potential (Vt) at the cathode is consisted of two components; the potential field produced by the gate and the field provided by the anode. The modified F-N [4] principle, in triode configuration, with the resultant Vt was used to analyze the emission data, Fig. 2 inset. The result confirms that the emission current is due to tunneling mechanism under applied electric fields. In the second mode of operation the handling Si was used as gate electrode and the other ND electrode as anode, while keeping the fingerlike emitters as cathode. Figure 3 displays the triode I-V characteristics. A larger Ia of ~40 μA was obtained at Va of ~60 V, when the Vg was 10 V. Whereas, applying negative 10 V at the gate, suppressed Ia to ~20 μA at same Va. It is believed that the higher current attributes to the position of the gate which is 2 μm closer than the previous case. The total cathode voltage (Vt) was calculated as earlier and the device characteristics were also found to follow the modified F-N relationship, Fig. 3 inset. Further, the applied anode and gate voltages are one of the lowest reported for lateral device, especially with cathodegate separation in microns. However, these values can be further improved by placing the gate closer to the emitter. It is also important to mention here that the gate-intercepted current is negligible to the anode current of the triode.
Fig. 1. SEM micrograph shows the structure of the fabricated triode. The substrate Si layer has been exposed in selective places. Inset shows the higher magnification image of the configuration.
Fig. 2. Triode emission characteristics of the nanodiamond lateral device operating in mode 1 (handling Si as anode); Inset shows corresponding F-N plots.
Fig. 3. Triode emission characteristics of the nanodiamond lateral device operating in mode 2 (handling Si as gate); Inset shows corresponding F-N plots.
REFERENCES [1]
[2]
[3]
[4]
W. P. Kang, J. L. Davidson, A. Wisitsora-at, Y. M. Wong, R. Takalkar, K. Holmes, and D. V. Kerns, “Diamond vacuum field emission devices,” Diamond Relat. Mater., vol. 13, pp. 1944–1948, 2004. C. M. Park, M. S. Lim, and M. K. Han, “A novel in situ vacuum encapsulated lateral field emitter triode,” IEEE Electron Device Lett., vol. 18, pp. 538–540, 1997. K. Subramanian, “Development of nanocrystalline diamond lateral vacuum field emission devices,” PhD Dissertation, Department of Electrical Engineering, Vanderbilt University, Nashville, TN, USA, 2008. R. H. Fowler and L. Nordheim, “Electron emission in intense electric fields,” Proc. Roy. Soc. London. series A, vol. 119, pp. 173–181, 1928.
Cold Cathode Array coated with Cubic Boron Nitride Masanori Kobayashi
Hidetoshi Miyashita
Takahito Ono
Graduate School of Engineering Tohoku University Sendai, Japan +81-227-95-5810
[email protected]
Graduate School of Engineering Tottori University Tottori, Japan +81-857-31-5978
[email protected]
Graduate School of Engineering Tohoku University Sendai, Japan +81-227-95-5806
[email protected]
Abstract—A thin film of boron nitride is synthesized on a Si substrate using BCl3 and NH3 as source gases, by thermal chemical vapor deposition. Cubic boron nitride (c-BN) is preferentially synthesized using a Fe thin film. The c-BN film exhibits negative electron affinity. A gated silicon field emitter array coated with a c-BN thin film for multi electron beam lithography is developed. Large emission current can be achieved in BN-coated Si emitter. Keywords—cubic boron nitride, c-BN, chemical deposition, field emission, multi electron beam lithography
I.
vapor
INTRODUCTION
Multi electron beam lithography (MEBL) has attracted attention as high-throughput electron beam lithography [1-3]. MEBL is the method that uses massive electron beams to write patterns. It is of great importance for field emitters of MEBL to achieve stable and reliable performance. In order to enhance the performance of Si emitters, Si tips have been coated with different semiconductors and metals such as diamond, diamond-like carbon, W, and Mo. Coated Si tips have several advantages over bare Si tips, such as low emission threshold voltage, high emission current, and high stability. Moreover, wide band gap semiconductors such as diamond, AlN, and cubic BN (c-BN) have been identified as negative electron affinity materials and emission properties of flat surfaces of these materials have even shown promising characteristics for electron emission devices [4-6]. Thus, by use of these materials for emitter surfaces, high performance of emitters is expected to be achieved. Among these high band gap materials, c-BN is attractive because of effective doping capability, low dielectric constant, and high chemical and mechanical stability [7]. In this paper, the synthesis of a c-BN film by thermal chemical vapor deposition (CVD) method using a Fe thin film is described. Field emission characteristics of BN-coated emitter were evaluated. A gated silicon field emitter array coated with the BN thin film was developed. II.
Solid sulfur was heated and transported into the reactor. A 20 nm-thick Fe film was deposited on the substrate as a catalyst for c-BN growth. The electrical resistivity of the formed film was 0.01 Ω cm. The growth rate was 120 nm h-1 under the above condition. The infrared absorption spectrum of the BN film is shown in Fig. 2. The absorption bands at 1380 cm-1 and at 800 cm-1 are attributed to the B-N stretching mode and the B-N-B bending mode, respectively. The absorption band at 1080 cm-1 is attributed to the sp3-bonded c-BN. From these results, it is found that c-BN is preferentially synthesized on the Fe-Si substrate. The ultraviolet photoelectron spectroscopy (UPS) spectrum of the BN film is shown in Fig. 3. Helium resonance lamp is used to provide 21.2 eV (He I line) UV light on the sample. The work function and the electron affinity of the BN film were estimated to be 1.0 eV and -0.07 eV, respectively. The BN film exhibits negative electron affinity.
Fig. 1 Schematic of fabricated thermal chemical vapor deposition system
THIN FILM DEPOSITION OF C-BN BY CHEMICAL VAPOR DEPOSITION
A BN film was synthesized by a CVD method. Schematic of the fabricated CVD system is shown in Fig. 1. BCl3 and NH3 were utilized as source gases. The substrate temperature and the total gas pressure were fixed at 800˚C and 100 Pa, respectively. Sulfur was doped as a donor impurity.
Fig. 2 Infrared absorption spectrum of the BN film. c-BN is preferentially synthesized on Fe-Si substrate.
Fig. 3 Ultraviolet photoelectron spectroscopy spectrum of the BN film. (Χ is the electron affinity of BN, Φ is the work function of BN) Fig. 7 IV characteristics of the Si and the BN-coated emitter
III.
COLD CATHODE ARRAY COATED WITH CUBIC BORON NITRIDE
The fabrication process of the emitter array is shown in Fig. 4. The emitter array consists of BN-coated Si emitter tips and a gate electrode. The height of the tips is 2 μm, and the diameter of the gate holes is 8 μm. A (100)-oriented Si substrate was utilized as a starting material. The tip structures were fabricated by anisotropic etching of Si using tetramethylammonium hydroxide (Fig.4 (1)). A 20 nm-thick Fe film was deposited on the substrate as a catalyst for c-BN growth. A BN film was synthesized by a CVD method. SiO2 layer for insulation was deposited (Fig. 4 (2)). Cr and W films for the gate electrode were formed on SiO2 (Fig. 4 (3)). To form the gate electrode, the top side of the wafer was polished; as a consequence, the Cr-W films on the Si tips were selectively removed. The SiO2 at the gate electrodes was etched by buffered hydrofluoric acid (Fig. 4 (4)). The scanning electron microscopy (SEM) image of the BN-coated emitter tip with the gate electrode we developed is shown in Fig. 5. IV.
FIELD EMISSION CHARACTERISTICS
The field emission characteristics of the fabricated single Si tip coated with BN film were evaluated. The film thickness was 60 nm. The Au probe of 1 mm2 in area was utilized as an anode.
A voltage was applied to the anode electrode against the grounded emitter. The distance from the anode to the emitter was set 5 μm from the tip apex. The field emission measurements were carried out at a pressure of approximately l0-5 Pa. The field emission characteristics were evaluated in both case, the Si and the BN-coated emitter. The emission characteristics of the Si and the BN-coated emitter are shown in Fig. 7. A large field emission current of 27 μA were observed from BN-coated emitter. The Fowler-Nordheim (FN) plots of the Si and the BN-coated emitter are shown in the inset of Fig. 7. The straight lines of the FN plot shows that the emission mechanism relies on field emission.. The electron emissions derived from the field emission mechanism started from an applied voltage of 350V, 150V from the Si and the BN-coated emitter, respectively. In terms of the gap between the apex of the emitter tip and the anode electrode, the turn on field was 3.0 × 107 V m-1. The enhancement factors of the Si and BN-coated emitter were calculated to be 9.38×103, and 2.75×103, respectively, by using the Fowler-Nordheim equation. In this calculation, we supposed that the work function of the emitter was Φ = 4.6 eV, 1.0 eV, respectively. V.
CONCLUTION
The thin film of c-BN was synthesized by a chemical vapor deposition method. The c-BN film exhibited negative electron affinity. We have developed a gated silicon field emitter array coated with a cubic boron nitride (c-BN) thin film. Larger emission current could be achieved in BN-coated emitter. This is beneficial to the the application to MEBL. REFERENCES [1] [2]
Fig. 4 Fabrication process of a cold cathode array coated with c-BN [3] [4] [5] [6] [7] Fig. 5 BN emitter tip with a gate electrode
T. H. P. Chang et al., J. Vac. Sci. Technol. B10 p. 2743, 1992. P. N. Minh, T. Ono, N. Sato, H. Mimura and M. Esashi, J. Vac. Sci. Technol. B, Vol. 22, pp.1273-1276, 2004. M. Muraki, and S. Gotoh, J. Vac. Sci. Technol. B, Vol. 18 , pp. 30613066, 2000. F. J. Himpsel, J. A. Knapp, J. A. van Vechten, and D. E. Eastman, Phys. Rev. B 20, 624 (1979). M. W. Geis, et al., IEEE Electron Device Lett. 12, 456 (1991). M. J. Powers, et al., Appl. Phys. Lett. 67, 3912 (1995). Takashi SUGINO, Kazuhiko TANIOKA, Seiji KAWASAKI and Junji SHIRAFUJI, Jpn. J. Appl. Phys. Vol. 36 (1997) pp. L463-L466, Part 2, No. 4B, 15 April 1997.
Field Emission Theory II
1
The origin of power laws in electric field assisted tunneling H. Cabrera,∗ D.A. Zanin, L.G. De Pietro, A. Vindigni, U. Ramsperger and D. Pescia Laboratory for Solid State Physics, ETH Zurich, 8093 Zurich, Switzerland ∗ Electronic address:
[email protected] – Telephone number: +41 44 633 65 98
Index Terms—NFESEM, Field-Emission
I. I NTRODUCTION Recent experiments [1], measuring the current I vs voltage V characteristics of a diode like junction in the electric field assisted regime, find that all I − V curves, taken at different distances d between the sharp electron emitter and the planar collector collapse onto one single graph when d is varied over six orders of magnitudes. As an example, in Figure 1 (left) we show a family of I − V characteristics measured within a given d range (color coded along the vertical column. On the right, all I vs V graphs are brought to almost collapse (within experimental noise) onto one single I vs V R(d) reference curve. This means that one entire I − V curve at a given distance d is mapped onto a reference I − V curve (which can be chosen arbitrarily) by multiplying all voltages by the same number R(d) (scaling factor), plotted in the inset on the right figures. The scaling factor R(d) depends on d but not on I and behaves approximately as a power law d−λ [see the red continuous curve through the graphs of R(d)]: This means that the current flowing obeys a scaling law of the type I = I(V d−λ ), i.e., it is a generalized homogeneous function of V and d and I(x) is the corresponding scaling function. The experimental value of the exponent λ turns out to be about 0.2. It is our aim in this paper to discuss the origin of the (apparently exotic) power law and to account for the measured value of λ. II. E XPERIMENTAL D ETAILS A schematic view of the experimental setup is sketched in Fig. 2. The tip is biased with a negative voltage with respect to the anode, so that field emitted electrons flow from the tip into the anode (for the simulation we typically set the tip at ground and the plane at +V ). A rounding of the cone tip limits its sharpness to ≈ 5 − 30 nm radius of curvature, depending
R(d)
F [V/nm]
I [nA]
Abstract—We have measured the voltage vs distance V − d characteristics at constant current I of a tunnel junction consisting of an electron emitting sharp tip placed at a variable distance d from a planar anode. At sufficiently large distances, i.e. in the regime of electric field assisted quantum tunneling, the V − d characteristics for different currents follow approximately a power law V ∝ dλ , the exponent λ being independent of the current. Here we compare and discuss the origin of the observed power law and the measured value of λ in terms of electrostatic properties of the tip-plane junction, taking the geometry of the tip as a hyperboloid of revolution.
d [nm]
V·R(d) [V]
V [V]
Fig. 1. (Color) Left: Family of I − V curves in the range 3 − 300 nm. Right: The curves on the left are made to collapse onto the curve corresponding to d = 300 nm by multiplying the voltage with a number R(d), plotted in the inset on the right. The continuous red curve through R(d) in the inset is a power-law ∝ d−λ with λ = 0.22. The variable d is color coded along the vertical bar on the right. Anode
z
d
V
y
x θ0
Field emitter
Fig. 2. The diodelike tunnel junction modelled within a prolate-spheroidal coordinate system, the tip has a characteristic angle θ0 which determines the exponent λ in the power law V ∝ dλ .
on the details of the tip preparation [2]. For the experiments with the distance d in the sub-nm to ≈ 2000 nm range the collecting plane is a W(110) or a Si(111) single-crystal surface, prepared with standard surface techniques in a base pressure of ≈ 10−11 mbar. By mounting the tip onto a piezocrystal, that can move the tip perpendicularly to the surface, the distance d can be varied. The value of d was also double checked by an optical sensor device, integrated ad hoc into our home-made STM microscope. III. R ESULTS AND D ISCUSSION Figure 3 shows a typical experimental V − d characteristic curve. In a typical experiment, the current is set to some prefixed value. The distance d is then varied and the voltage required to keep the current at the prefixed value is measured. In
V(d), (x)
2
Experimental Data Numerical Simulation
d, x
Fig. 3. V − d curves for a field assisted emission current of 150 pA, the distance d verified with an optical sensor. The experimental data (red) fit to the two-parameter function of the form V = cdλ with λ ≈ 0.21 ± 0.05 and c a constant. The blue line shows a numerical simulation calculated using a model of the tip-geometry based on a hyperboloid of revolution with characteristic angle θ0 = θ0 (λ = 0.21).
the range d ≥ 10 nm a power-law behaviour ∝ dλ is observed to fit properly the experimental data, with λ = 0.21 ± 0.05. In the range of small d the dependence turns to almost linear, indicating that a different regime of tunneling – the direct tunneling regime – sets in at short distances. Notice that this is the same exponent observed in the R(d) dependence shown in Figure 1. The result of a numerical simulation is also presented in Figure 3. Notice that a realistic simulation of the full process involving electric field assisted quantum tunnelling is a difficult task. It appears, however, on the basis of our simulation, that knowledge of the electrostatics alone is already providing a satisfactory explanation of the observed scaling results. The tip was modelled as a hyperboloid of revolution and the sample was a plane placed at a fixed distance d = 1646 nm (the largest distance measured in experiment). Plotted in the Figure (blue color) are the values of the electric potential Φ as a function of the spatial coordinate x along the the tip axis. It turns out that the two curves (simulation Φ(x) and experiment V (d)) can be rescaled onto each other with great accuracy and almost within the entire range. To find an explanation of this similarity – at least on a qualitative level – we turn to the actual process that governs the emission of electron from a sharp tip in the regime of large d: the electric field assisted regime, which can be described by the quantum-mechanical Gamov exponent. In its simplest version, this is given by √ Z 8m x2 p ϕ− | eΦ(x) |dx h ¯ x1 ϕ being the work function of the tip and the spatial integration being performed between the classical turning points xi . One could agree that the similarity presented in Fig. 3 and the almost power-law behaviour recorded can be explained by the following Ansatz: x λ . (1) Φ(x) ∼ V d This Ansatz would be correct for a conical tip, but both simulations and experiments, which record some deviation from a power-law in the small d (and x) regime, suggest that for a realistic tip (with apex rounding) this Ansatz is an oversimplification, although at large distances it works well. We are
in the process of finding a more accurate explanation for the similarity between Φ(x) and V (d) over such large distances. Regarding the value of the exponent λ: our simulations find that the exponent λ is related to the angle of aperture of the hyperboloid, i.e. the angle θ0 between the axis of the hyperboloid and its asymptotes. This relation was suggested in Ref. [1] for the analytically solvable problem of a conical tip but it appears to hold true for the more realistic hyperboloidal shape. IV. C ONCLUSION AND O UTLOOK Our results provide a simple explanation of the observed scaling results in a diode-like tunnel junction. For the explanation of this phenomenon in terms of electrostatics of sharp boundaries we use a simple model of electric-field assisted tunnelling using a “realistic” tip geometry which reproduces the essential features observed experimentally. Notice that inserting the observed values of λ (which are different from 1, the value for a planar emitter) into the one-dimensional Jeffreys-Wentzel-Kramers-Brillouin approximation of quantum tunnelling is bound to produce a curvature in the standard Fowler-Nordheim plot log(I/V 2 ) vs 1/V . This could have implications for the understanding of experimental I − V measurements and could stimulate theories dealing with the slight curvature appearing in the Fowler-Nordheim plots of the type log(I/V 2 ) vs 1/V to incorporate λ 6= 1. ACKNOWLEDGMENT We would like to thanks Thomas Bahler for the technical support and the Swiss National Founding as well the ETH Zurich for the financial support. R EFERENCES [1] H. Cabrera et al., Phys. Rev. B 87, 115436 (2013). [2] D.A. Zanin et al., Advances in Imaging and Electron Physics 170, 227 (2012). [3] A. Kyritsakis et al., Scalin properties of a non-Fowler-Nordheim tunnelling junction, (this digest).
Scaling properties of a non-Fowler-Nordheim tunneling junction A. Kyritsakis and J. P. Xanthakis
D. Pescia
Department of Electrical and Computer Engineering, National Technical University of Athens, Zografou Campus, Athens 15780, Greece e-mail:
[email protected]
Laboratory for Solid State Physics ETH Zurich CH-8093 Zürich, Switzerland
Abstract— Recent experimental work [1] has shown that there is a simple scaling function for the current I in a sharp tunneling junction as a function of the tip anode distance d. When the emitter has a large radius of curvature R the tunneling potential depends linearly on the field and scaling the latter with d obviously leads to the scaling of the current. But when the emitter is sharp and the barrier is of a non-Fowler-Nordheim type, scaling the field does not necessarily lead to scaling of the current with d. Here we show that for d>>R it does.
The Laplacian in spherical coordinates is (φ-derivatives as zero due to rotational symmetry of the emitter):
Keywords: scaling; sharp field emitters; non-linear barrier; tunneling junction.
This means that if at any distance d from the anode the electric field F at the emitter- at any angle- is scaled with respect to a reference distance d0 then the second order coefficient of the Taylor expansion will also scale accordingly and the potential V(r) will remain invariant up to 2nd order. Hence the local current density J(θ) will also remain invariant. To verify this with concrete calculations we consider an emitter made up of a stack of spheres of increasing diameter so as to mimic a conical emitter with a spherical apex. The calculation method is described in [2,3].
I.
INTRODUCTION
The potential variation corresponding to the classical Fowler-Nordheim planar model for field emission is the image rounded linear potential where W is the work function and F is the electric field at the emitting surface. This is basically a one-parameter model potential, i.e. a function only of F since W is fixed. Modern emitters have radii of curvature R in the range of 1-20nm and create potential distributions around them that differ significantly from the above. In particular, curved surfaces require a series expansion in terms of harmonic functions for the determination of the potential, i.e. the latter depends on more than one parameter. Scaling the potential so that it remains invariant with respect to the emitter-anode distance d in a tunneling junction configuration of the near-field mode would then seem to require more than simply scaling the electric field F at the emitter apex or at some other angle θ to the normal. Here, we show that despite the non-linear form of the potential, scaling only F is all that is needed for the potential to remain invariant in most practical purposes provided that the distance d is much greater than R. This invariance leads to the existence of a simple scaling function for the current I as a function of the tip anode distance d as recently observed experimentally [1]. II.
THE POTENTIAL
The basic argument may be summarized as follows. Suppose we have an emitter with only the emission area being spherical and a spherical-coordinate system (r,θ,φ) placed at its center. In any direction θ the potential distribution may be expanded in an infinite Taylor series as
At the potential is constant as it is an equipotential. Hence all derivatives with respect to θ are zero and directly from (2):
III.
THE CURRENT
Figures 1, 2 show respectively V(r) at θ=0 for different d values before and after scaling F by an appropriate function Q(d). It is clearly seen that all potential distributions collapse after scaling onto a single curve in a region which includes the tunneling region but most importantly in a region much wider than that where the potential is linear. This region has been found after the performance of more sets of calculations to be . The deviations for are due to the higher order terms that do not scale with . The above property does not automatically lead to a scaling of the current itself -as was recently observed experimentally [1]- because the current is a surface integral of the current density. Whereas the ratio of the 1st to 2nd order coefficient is independent of d, the way F(θ) (i.e. the 1st order coefficient) changes with θ is not independent of d. However it is well known from electrostatics and is evident from figure 3 that for d>>R the way the electric field changes with θ depends entirely on the shape of the emitting surface and hence the current itself scales with the applied voltage. Note that -say-for R=5nm the above inequality still places the d values within the interesting near field emission regime.
Fig. 1. Calculated electrostatic potential along the vertical axis for different distances and constant applied voltage 14.7V.
Fig. 2. Scaled potential so that electric field at x=0 is kept constant F=4V/nm .
Fig. 3. Variation of the electric field on the emitter surface with respect to θ for various distances.
In figure 4 we plot the calculated total current versus the electric field F for the scaled potential. We scale the potential so that F is kept constant for all d at an angle θ=0.48rad. We choose to scale with respect to that angle because this is the angle the majority of the current comes from for the most of the cases. Although the maximum current density comes at the direction θ=0, the maximum current between θ and θ+dθ which is 2πR2J(θ)sin(θ)dθ becomes maximum at some angle θ>0. Deviations from exact scaling for very small distances and currents are in agreement with experiment [1]. In figure 5 we show the scaled current densities at θ=0.48rad corresponding to the total currents of figure 4. We can see that scaling is almost perfect which makes it evident that the deviations in the total current come mainly from the variation of F with θ.
Fig. 4. Calculated total current for various distances. The potential is scaled so that F(θ=0.48rad) is the same.
Fig. 5. Fig. 5. Calculated current density at the direction θ=0.48rad for various distances. Each potential is scaled so that F(θ=0.48rad) is the same.
Fig. 6. Variation of the scaling factor Q of figures 2,4,5 for many orders of magnitude of d. The stack of spheres comprises a cone with full angle of 10 o and length L=0.65mm. In a log-log scale, a straight line means power law.
Finally, in [1] it was reported experimentally that a power-law governs the scaling function Q(d). In figure 6 we confirm this with our calculation for a stack of spheres that mimics a cone. We recognize 3 regions. In d 1ML) film on W. Droplets appear in the PEEM+ThEEM image, on a bright background with poor contrast. The right hand image was acquired under the exact same conditions in ThEEM by blocking the mercury arc lamp. The droplets are visible in PEEM because the height of the drop above the surface catches the obliquely incident light and adds shadows to the image, which can then easily be interpreted as projections above the surface. In the right hand ThEEM image, there is much more contrast between grains and the point emitters similar to those in Figure 1 are observed. There are also more uniformly emitting grains. The arrows identify the same large droplet in each image. IV. DISCUSSION A possible explanation for the point emitters is dewetting. A layer de-wets when a smooth coating ruptures into droplets. The formation of droplets from a smooth thin film
PEEM
ThEEM
ThEEM
610 C
104 s) ionization of helium was accomplished at 1 Torr pressures.
Ht
ip
(µ m
)
R ap (µ
m)
Fig. 1. (a) Schematic of the self-aligned gated field ionizers and (b)simulated electric field at the tip as a function of gate radius of aperture, Rap, and tip height above the gate-insulator interface, Htip.
aligned device configuration and highly uniform gate and tip dimensions. The fabrication process tolerates wide variation in process conditions and delivers a high yield over large area substrates. The optical images of the fabricated arrays over a 6-inch Si wafer are shown in Fig. 2(a) with a close-up SEM image of a gated tip in Fig. 2(b). The arrays are composed of 320,000 tips in 36 mm2. IV.
Fig. 2. (a) Optical images of fabricated arrays on a 6-inch Si wafer and (b) colse-up SEM image of a gated tip.
by using a gate aperture radius < 2 µm surrounding a tip with radius < 5 nm that is not more than 1 µm below the gate plane. III.
FABRICATION
The gated tip arrays were fabricated on 10 Ω.cm Si substrates using a 2.5 µm-thick SiO2/SiNx stack layer as the gate dielectric. To incorporate a thick gate dielectric, highaspect ratio Si pillars were first etched in the substrate and covered by SiO2. Photolithography, isotropic etching, and oxidation sharpening were employed to produce a self-
Helium with the highest ionization potential among gases was used as a benchmark to demonstrate the field ionization capability of the fabricated devices. The chamber pressure was set by controlling the flow rate of He into the chamber. The gate was grounded while the tips were positively biased. A Si wafer biased at −100 V was placed 5 mm above the array to collect the generated ions. The collected ion current as a function of tip-to-gate bias is depicted in Fig. 3 for field ionization of helium at pressures in the range of 0.1 mTorr to 1 Torr. The device generates ion currents as high as 0.1 nA at tip-to-gate biases of 200 V and pressure of 1 Torr. The maximum gate current was ~ 10 µA and it was independent of the chamber pressure. The field ionization experiment at higher pressures was only limited by plasma formation rather than device failure. He breakdown occurs at ~150 V for a pd (pressure-distance) value of ~1 Torr.cm while the pd product in our experimental setup is 1 Torr.cm at 2 Torr. The performance of the fabricated arrays for long-term field ionization of He at a chamber pressure of 1 Torr was investigated. The ion current gradually dropped from initial current of ~ 70 pA to 42 pA after 104 s of operation for a device biased at Vtip-to-gate of 170 V. The device characteristics are re-established by operating the device as a field emitter at pressures below 10-7 Torr. This reversible degradation of the device characteristics are attributed to adsorption and desorption of gases on the tip surface. REFERENCES [1] [2]
[3]
[4]
[5] [6]
[7] Fig. 3. Collected ion current as a function of tip-to-gate bias during field ionization of helium at different chamber pressures.
CHARACTERIZATION
H. D. Beckey, Principles of Field Ionization and Field Desorption Mass Spectrometry, New York, Pergamon Press, London, 1977. K. Qian, G. J. Dechert, and K. E. Edwards, “Deducing molecular compositions of petroleum products using GC-field ionization high resolution time of flight mass spectrometry,” Int. J. Mass. Spectrom., vol. 265, no. 2–3, pp. 230-236, Sept. 2007. D. J. Riley, M. Mann, D. A. MacLaren, P. C. Dastoor, W. Allison, K. B. K. Teo, G. A. J. Amaratunga, and W. Milne, “Helium detection via field ionization from carbon nanotubes,” Nano Lett., vol. 3, pp. 1455−1458, 2003. A. Persaud, I. Allen, M. R. Dickinson, T. Schenkel, R. Kapadia, K. Takei, and A. Javey, “Development of a compact neutron source based on field ionization processes,” J. Vac. Sci. Tech. B, vol. 29, no. 2, pp. 02B107-1−4, Mar 2011. C. A. Spindt, "Microfabricated field-emission and field-ionization sources," Surface Science, vol. 266, no. 1, pp. 145-154, Apr. 1992. L.-Y. Chen, L. F. Velásquez-García, X. Wang, K. Teo, and A. I. Akinwande, “A micro ionizer for portable mass spectrometers using double-gated isolated vertically aligned carbon nanofiber arrays,” in IEDM Tech. Dig., pp. 843−846, 2007. B. Bargsten Johnson, P. R. Schwoebel, C. E. Holland, P. J. Resnick, K. L. Hertz, and D. L. Chichester, “Field ion source development for neutron generators,” Nucl. Instrum. Meth. A, vol. 663, pp. 64−67, 2012.
Active-Matrix Spindt-Type Field Emitter Array with Faster Response Time for Image Sensor with High-Gain Avalanche Rushing Amorphous Photoconductor Target Y. Honda1, K. Miyakawa1, M. Kubota1, M. Nanba2 and N. Egami3 1
NHK Science & Technology Research Laboratories, 1-10-11 Kinuta, Setagaya-ku, Tokyo 157-8510, Japan 2 NHK Engineering System, Inc., 1-10-11 Kinuta, Setagaya-ku, Tokyo 157-8540, Japan 3 Kinki University, 11-6 Kayanomori, Iizuka-shi, Fukuoka 820-8555, Japan
field emitter; FEA; active-matrix; HARP (keywords)
I.
INTRODUCTION
We have been studying a flat image sensor consisting of a Spindt-type field emitter array (FEA) and a high-gain avalanche rushing amorphous photoconductor (HARP) target with the aim of developing ultrahigh-sensitivity compact HDTV cameras. This sensor is called an FEA-HARP image sensor. We have fabricated and tested a 640 480 pixel FEAHARP sensor consisting of an FEA equipped with an activematrix drive circuit [1]. The experimental results on this prototype sensor showed that the active-matrix circuit drove the FEA with pixel sequential scanning that complied with the NTSC standard. However, in a higher-resolution sensor with a larger number of pixels, the FEA cannot operate quickly enough to meet the HDTV standard. This article describes a newly designed active-matrix drive circuit with a level-shifter in each pixel and with low-voltage (5 V) vertical and horizontal scanning circuits to speed up the active-matrix FEA operation. Simulation and experimental results are also discussed. II.
PROBLEMS WITH PREVIOUS SENSOR
The operating principle of the FEA image sensor is shown in Figure 1. The sensor consists of a Spindt-type FEA, a mesh electrode, and a HARP target, in close proximity to each other. The HARP target converts incident light into electron-hole pairs. The number of holes is increased by using the internal avalanche multiplication effect [2], and a hole pattern corresponding to the optical image forms at the electron scanning side of the HARP target. Electrons are emitted sequentially from each pixel and are drawn to the HARP target by the high potential of the mesh electrode. The output signal current is obtained by recombining the holes accumulated on the HARP target and scanning electrons emitted from the FEA. As shown in Figure 2, the previous active-matrix drive circuit consists of a pixel-select transistor in each pixel, and vertical and horizontal scanning circuits. The drain of the pixelselect transistor is connected to the FE tips. The gate and source of the pixel-select transistor are connected to the vertical
and horizontal scanning circuits, respectively. The FEA gate applies the dc voltage required for electron emission. By applying a high-voltage pulse (e. g., 25V) generated by the horizontal scanning circuit through the pixel-select transistor to the FE tips, electrons are sequentially emitted from each pixel. The previous active-matrix drive circuit was chosen because the pixel unit was simple. However, the pixel-select transistor needs to be made with high-voltage metal-oxidesemiconductor (MOS) transistor technology in order to increase the breakdown voltages of the source and drain. Therefore, the previous sensor’s operating speed is limited mainly by the stray capacitance of the source (Csource), which is larger than that of a normal MOS transistor. Figure 3 plots the simulated change in FE tip potential when using the previous active-matrix circuit. The pixel count in this case is 1920 1080, and the pulse voltage generated by the horizontal scanning circuit is 25 V. The FE tip potential is not able to Light
HARP target
Output signal
Hole
Electron Mesh electrode Spindt-type FEA Pixel
Fig. 1. Operating principle of FEA image sensor with HARP target. Pixel-select transistor Stray capacitance Vertical scanning line (Csource) Pixel (to Tr-gates)
Vertical scanning circuit
Abstract—A new active-matrix Spindt-type field emitter array for a FEA-HARP (field emitter array - high-gain avalanche rushing amorphous photoconductor) image sensor was designed. Simulation and experimental results showed that the new activematrix drive circuit can shorten the response time and can potentially meet the HDTV standard.
Horizontal scanning line (to Tr-sources)
G S
FEA gate D
Horizontal scanning circuit
Fig. 2. Circuitry of previous active-matrix Spindt-type FEA.
30
45
13.5 ns
13.5 ns
40 Potential of FE tip (V)
Potential of FE tip (V)
25 20 15 10
35 30
25 20 15
Measured value Simulated value
10
5
5 0
0
20
40
60 Time (ns)
80
100
Normalized emission current (arb. Units)
OF ACTIVE- MATRIX DRIVE CIRCUIT WITH FASTER RESPONSE
As a way to speed up the active-matrix FEA operation, a new active-matrix drive circuit with a level-shifter in each pixel and with low-voltage (5 V) vertical and horizontal scanning circuits was designed. The pixel unit of the new active-matrix FEA is shown in Figure 4. The level-shifter consists of the pixel-select transistor and a miniature resistor formed with new technology, and it shifts the low-voltage pulse generated by the horizontal scanning circuit to a high-voltage one. It then applies the high voltage pulse to the FE tips to turn the FEA on and off. This circuit’s operating speed is limited mainly by the stray capacitance of the gate (Cgate) of the pixel-select transistor. Because the stray capacitance of the gate (Cgate) is approximately 1/6th that of the previous Csource , the operation is sped up. Resistor Horizontal scanning line
Stray capacitance (Cgate)
Pixel-select transistor
Vertical scanning line
Fig. 4. Pixel unit of new active-matrix Spindt-type FEA. IV.
RESULTS AND DISCUSSION
We simulated the change in FE tip potential when using the new active-matrix FEA. The pixel count was 1920 1080, and the applied voltage to the level-shifter was 40 V. We also fabricated a test circuit consisting of a level-shifter and lowvoltage (5 V) vertical and horizontal scanning circuits to evaluate the operating speed. A large-area resistor formed with MOS transistor technology was substituted for the miniature resistor formed in the FEA with new technology. The resistances were the same. In addition, the measurement probe was substituted for the FEA. The simulated change in FE tip potential compared with measured one is shown in Figure 5. Note that the measured FE tip potential shown in Figure 5 was obtained by converting the measurements of the probe. Both
40
60 Time (ns)
80
100
1.2
approach 0 V within approximately 13.5 ns, which is the pixelselecting time of HDTV cameras. Therefore, the previous active-matrix FEA cannot operate at the HDTV standard. DESIGN
20
Fig. 5. Change in FE tip potential.
Fig. 3. Change in FE tip potential with the previous active-matrix sensor.
III.
0
13.5 ns
1.0 0.8 0.6 0.4 0.2
20
40
60 Time (ns)
80
100
Fig. 6. Change in emission current. measured and simulated emitter potentials approached approximately 0 V within the pixel-selecting time of approximately 13.5 ns. The measured emitter potential also approached approximately 0 V faster than the simulated one. This is considered to be because the fabricated pixel-transistor ON resistance is smaller than that of the simulated one. On the basis of these results, we simulated the change in emission current for the measured change in FE tip potential. The simulation results are shown in Figure 6. Approximately 97% of the electrons were emitted within approximately 13.5 ns. These results indicate the possibility that the new active-matrix circuit can operate at the HDTV standard. V. CONCLUSION A new active-matrix Spindt-type FEA was designed and simulated. A test circuit was also fabricated to evaluate the operating speed. The newly designed active-matrix drive circuit shortened the response time and showed the possibility of meeting the HDTV standard. The goal of our work is to develop sensors for ultrahighsensitivity compact HDTV cameras that can be used for responsive news gathering at night. We plan to optimize the design and to fabricate active-matrix Spindt-type FEAs for HDTV cameras. REFERENCES [1]
[2]
M. Nanba, Y. Takiguchi, Y. Honda, Y. Hirano, T. Watabe, N. Egami, K. Miya, K. Nakamura, M. Taniguchi, S. Itoh, and A. Kobayashi: “640 ×480 pixel active-matrix Spindt-type field emitter array image sensor with high-gain avalanche rushing amorphous photoconductor target”, J. Vac. Technol. B 28(1), pp.96-103 (2010). K. Tanioka, J. Yamazaki, K. Shidara, K. Taketoshi, T. Kawamura, S. Ishioka, and Y. Takasaki: “An Avalanche-mode Amorphous Selenium Photoconductor Layer for Use as a Camera Tube Target”, IEEE Electron Device Letters, EDL-8, 9, pp.392-394 (1987).
Enhanced Field Emission with Self-alingned Carbon Nanotube Emitters grown by RAP process Jung Soo Kang, Su Woong Lee, Seon Yong Park, Ha Rim Lee, Jin Jang, Kyu Chang Park* Department of Information Display and Advanced Display Research Center, Kyung Hee University, Dongdaemoon-ku, Seoul 130-701, Korea *e-mail:
[email protected], telephone: +822-961-9447
Abstract—We optimized the triode structure for field emission with carbon nanotube emitters grown with resistassisted pattering process. Carbon nanotube emitters were grown on silicon substrate and patterned with grid mesh for structural optimization. The gate mesh was self-aligned with the carbon nanotube for lower leakage current, resulting higher electron emission current and transmission ratio. With the optimized triode structure, we fabricated field emission lamp and obtain luminance of 23,000 cd/㎡.
(a) (b) Figure 1. The SEM image of CNTs emitter grown with RAP process
Keywords—CNT;RAP;Triode ;Field emission lamp
I.
INTRODUCTION
Carbon nanotubes (CNTs) have superior properties in electrical, thermal and mechanical performance. CNTs are excellent electron emitters for vacuum nano-electronic devices such as field emission displays (FEDs), X-ray source, electron guns and field emission lamp units [1–4]. After the discovery of electron emission from CNTs [5], there have been many studies on an applications with the electrical, chemical and structural properties [6]. The field emission lamp and X-ray tube were fabricated using the triode structure. Especially, field emission lamp is the most candidate for general lighting applications. To the field emission lamp application, device structure of CNT emitter is very important. We optimized the triode structure for field emission with carbon nanotube emitters grown with resistassisted pattering (RAP) process. Using optimized triode structure, we can achieved a low leakage current, high anode current. With the optimized triode structure, we can fabricated field emission lamp for light generation with phosphor coated anode. We can obtain bright light emission more 23,000 cd/㎡. The higher light emission from phosphor coated anode comes from lower gate leakage with optimized triode structure. II.
EXPERIMENTAL DETAILS
CNT emitter arrays were grown with a resist-assisted pattering (RAP) process without a diffusion barrier using triode plasma enhanced chemical vapor deposition (PE-CVD) technique [7, 8]. The CNT growth was performed with a pressure of 2.0 Torr at temperature of 800 ℃ in a 40:60 mixture of acetylene (C2H2) and ammonia (NH3), respectively. The RAP process was used to form CNT electron emitter arrays with a dot diameter of 3 μm, an island pitch of 15 μm.
Fig. 1 shows CNT emitters grown with RAP process for triode beam fabrication. To optimized electron emission current, CNT emitter was self-aligned on gate hole area with various line width. The electron emission characteristics depends on the line with. Typical line width is 105 μm as shown in Fig. 1(b). The triode structure consisted of an anode, a gate and a cathode as shown in Fig. 2. The Fig. 2(a) represents the schematic of beam assembly with 5 beam parts. Fig. 2(b) shows the dimension of triode structure. Grown CNTs emitter on Si wafer was attached to the cathode carrier with metal carrier bonding technique.[9] The gate made up of grid mesh is above 300 um from cathode. Anode target is placed above 35 mm from the gate electrode. III.
RESULTS AND DISCUSSION
Fig. 3 shows the schematic diagram of the structure of a CNT emitter location and electron emission properties. In Fig. 3(a), all CNTs emitters are located under the hole in mesh line. In this case, electrons emitted from CNTs emitter are attracted to the grid mesh by applied electric field, this structure shows higher leakage current from cathode to gate electrode. To reduce the leakage current, we changed the pattern of CNTs emitter and the grid mesh to Fig. 3(b). In this case, all CNT emitters are located in gate hole area. With the structure, we measured electron emission characteristics. Fig. 3 (c) and (d) shows I-V characteristics of the triode structure with non-aligned (Fig. 3(a)) and selfaligned triode structure (Fig. 3(b)). The non-aligned structure shows lower electron emission current than the self-aligned structure. The transmittance ratio of anode current was measured the 46% of non-aligned structure and 68% of selfaligned structure on the field of 4.5 V/m.
electrode increase temperature of gate electrode resulting lower emission current by increased resistance.
(a) (b) Figure 2. (a) Image of the triode electron beam module. (b) The operation schematic and dimension of the triode structure.
With the optimized triode structure, we measured light emission properties. For the light emission measurement, we use ITO coated anode glass. And lamp was operated with anode voltage of 5 kV, gate voltage of 1.8 kV and anode current of 0.51 mA. The distance from gate and anode is 35 mm and gate to cathode gap is 300 m. With the structure and driving condition, we can obtain high luminance of 23,000 cd/㎡. The luminance is enough for general lighting application. account. IV.
CONCLUSION
In this study, we optimized triode structure of field emission electron beam. To lower gate leakage current, CNT emitter was self-aligned with gate mesh electrode, resulting all CNTs in gate hole area. With the optimized structure, we can improve electron emission current 3.4 times and transmittance ratio of 47%. With the optimized triode structure, we can fabricated high performance field emission lamp. The field emission lamp shows 23,000 cd/㎡ brightness. The brightness is enough for general lighting application. We will report on fully vacuum sealed field emission lamp near soon. ACKNOWLEDGMENT This work was supported by the Technology Innovation Program (or Industrial Strategic technology development program, Project No.10037394, Development of Field Emission Nano Materials with a High Brightness and Long Lifetime) funded By the Ministry of Trade, industry & Energy(MI, Korea) ) and Ministry of Education through BK plus project. REFERENCES [1] [2]
[3] [4]
[5] Figure 3. . The schematic diagram of the aligned structure and I-V curve between the gate and the pattern of CNTs emitter
The transmission ratio increases 47% with the optimized selfaligned structure. The electron emission current shows 0.13 mA and 0.45mA at 4.5 V/m field for non-aligned and selfaligned structure, respectively. The emission current increases 3.4 times with the optimized self-aligned structure. The increased emission current appeared to lower heat generation in gate mesh with self-aligned structure. The gate leakage current which generated by electron bombardment on gate
[6] [7] [8] [9]
J. M. Kim, W. B. Choi, N. S. Lee, and J. E. Jung, Diamond and related materials, 9, 3, 1184, 2000 Chang, W. S., H. Y. Choi, et al. “Simulation of Field-Emission Triode Using Carbon Nanotube Emitters.” Japanese Journal of Applied Physics 45(9A): 7175, 2006 Y. Cheng and O. Zhou, Comptes Rendus Physique, Vol. 4, pp. 10211033, 2003 Zejian, L., Y. Guang, et al. “Carbon nanotube based microfocus field emission x-ray source for microcomputed tomography.” Applied Physics Letters 89 (10) : 103111, 2006 W. A. de Heer, A. Châtelain, and D. Ugarte, cience, Vol. 270, pp. 11791180, 1995 Y. Saito, S. Uemura, Carbon, 38, pp. 169-182, 2000 K. C. Park, J. H. Ryu, K. S. Kim, Y. Y. Yu, J. Jang, Journal of Vacuum Science & Technology, B:25, pp. 1261-1264, 2007 J. H. Ryu, K. S. Kim, C. S. Lee, J. Jang, and K. C. Park, Journal of acuum Science & Technology, B:26, pp. 856-859, 2008 S.W. Lee, Y. J. Eom, H. B. Won, J.S. Gang, J. Jang, and K. C. Park, Technical Digest of IVNC 2012, P2-30, 346-347, 2012
Sp2 Carbon III
Enhancement of emission stability from printed carbon nanotubes at current densities above 200 mA cm2 P. Helfenstein, S. H. Park, I. H. Kim, T. W. Chung, C. S. Lee, D. Y. Kim, H. K. Park, In T. Han, and Yong C. Kim* Samsung Advanced Institute of Technology, Yongin-si, Kyunggi-do, 446-712 South Korea *E-mail:
[email protected]
Commercializing electron devices based on carbon nanotube (CNT) field emitters has been strictly limited by their life-time stability. In low perveance devices such as field emission displays, lamps, low power X-ray sources, and display backlights, current densities of only a few mA cm2 are required. Meanwhile, high power vacuum electronic devices usually require stable current densities in the range of at least 0.1 to 1 A cm-2. In the case of medical X-ray sources, field emission devices have advantages over the conventionally employed thermionic sources such as the possibility to be operated at shorter pulses with lower modulation voltages and at room temperature. These advantages fueled research into various field emitter structures of different geometries and materials. To obtain a high brightness field emission source, we have developed a printed CNT source using a high wt% CNT paste. The wt% of up to 10 results in an effective emission site density of up to ~106 cm-2. Numerical simulations of the heat transfer in our CNT field emission source have shown that no oxidation damages to the emitters occur at average tip currents of 11 A operated under the moderate vacuum conditions of ~104 Pa. Therefore, we estimate that about 1 A cm2 of emission current can be stably extracted from our printed CNT emitter arrays. Also, a critical pre-aging process to eliminate gaseous species from the CNTs shows remarkable stability increase in the high current operation regime. In addition, we found that it is critical to dissipate heat generated from the gate mesh during high power operation of the Xray cathode. Through the development of such emitter processes combined with improved heat dissipation from the gate mesh, we have successfully demonstrated the stable extraction of up to 200 mA from 0.5 cm2 of screen printed CNTs, and a 50 kV/100 mA X-ray source for medical applications.
Fig. 1. A result of dynamic modeling of the temperature behavior during electron emission from a CNT field emitter. (Multiwalled CNT with 10 walls, and 1 A extraction. Here, field emission cooling at tip apex was not considered.) X-axis is the length coordinate of the CNT emitter (tip apex is located here at 4.5 m), and y-axis is for temperature.
Fig. 2. (left): Heating modeling on the mesh gate during DC operation of the CNT cathodes at 50 mA/350 V. (right): Measured temperatures on the mesh gates during DC operation of CNT cathodes at 10 mA/250 V.
Fig. 3. (left): Pressure behaviors during operation of fresh and aged samples. (right): Changes in the I-V characteristics before and after aging..
91
Pressure dependent Field Emission characteristics of Graphene emitters Mahendra A. More*, Ranjit V. Kashid and Dilip S. Joag Center for Advanced Studies in Materials Science and Condensed Matter Physics, Department of Physics, University of Pune, Pune 411007, India *E-mail:
[email protected], Phone No: +91-020-25692678 Ext. 310 Abstract: Field emission characteristics of graphene emitters have been investigated in close proximity configuration at various vacuum levels ranging from rough vacuum to ultra high vacuum. In order to reveal the influence of gaseous species, pressure variation was achieved by admitting nitrogen, oxygen and air into the working chamber. The turn on and threshold field values exhibit gas dependency and under nitrogen ambient they are observed to be lower. Furthermore, noise in the emission current has been analyzed using FFT analyzer. Interestingly, the noise characteristics shows gas as well as pressure dependency.
Field-Emission from Carbon Nanotube Cones Fabricated by Micro-Electro-Discharge Machining M. Vahdani Moghaddam, M. S. Sarwar, Z. Xiao, M. Dahmardeh, K. Takahata*, and A. Nojeh* Department of Electrical and Computer Engineering University of British Columbia, Vancouver, BC V6T 1Z4, Canada *Corresponding authors:
[email protected],
[email protected] Abstract—Field-emitters based on patterned carbon nanotube (CNT) arrays have promising properties. For example, they operate at low voltages and produce significant current. To pattern carbon nanotube arrays into various shapes, the typical approach consists of using lithography to pattern the catalyst prior to nanotube growth. However, this technique enables only two-dimensional patterning, where the height of the nanotubes remains unchanged. It is highly desirable to tailor the shape of CNT arrays in three dimensions (3D) in order to optimize the field emission performance of the arrays, including height control and creating angled surfaces. Here, we report on the polishing of the top surface of a CNT forest pillar and creation of cone-type structures in CNT arrays, similar in shape to the emitters in a conventional field-emitter array based on bulk metals. For this, we use dry micro-electro-discharge machining (µEDM) in oxygen ambient. We also report the results of field-emission experiments from them and show that the beam resulting from the CNT cone produces a sharp, uniform emission spot on the phosphor screen in field-emission microscopy. I.
INTRODUCTION
CNTs, with their extremely high-aspect-ratio geometries, are considered to be a good candidate for applications in vacuum nanoelectronics. In some applications, for example in creating large-area field-emission sources, one needs to have a three-dimensionally engineered cathode or a cathode with a flat surface that can produce a large, flat electron beam. Here, we show that using µEDM, one can manufacture cathodes with uniform surfaces or 3D cathodes in an array of vertically aligned CNTs to produce more uniform and/or confined electron beams from the array. II. METHODOLOGY We patterned the catalyst on the highly p-doped silicon wafer with the shape of uniform circles with a diameter of ~2.3 mm. A chemical vapor deposition (CVD) reactor was used to grow the vertically aligned multiwalled CNTs with the shape of pillars. A two stage CVD reactor (a furnace region to preheat the gases to 850 ºC and a resistive substrate heater to heat the catalyst to 800 ºC) was used to grow ~200 µm high CNT forests. The growth process has been explained in [1]. The conditions and machining process for µEDM have been
explained in [2]. Figure 1 is a block diagram of the experimental setup. An external voltage (V1) was applied to a stainless-steel mesh with an opening size of ~279 µm to extract the electrons from the machined and non-machined CNT forests. A phosphor screen, coated on ITO glass, was used as anode. III. RESULTS AND DISCUSSION The experiment was concerned with the investigation of the shape and uniformity of electron emission from machined and non-machined CNT forest pillars. As a first experiment, we tested the effect of machining on the uniformity of electron emission from the top surface of the CNT forest pillar and compared it with the shape of electron emission from a non-machined pillar. For this, we flattened the top surface of one CNT forest pillar by removing its surface layer with µEDM. Figures 2a and 2b show images of the electron beams resulting from the machined and non-machined CNT forest pillars, respectively, on the phosphor screen. As can be seen, the electron beam from the non-machined pillar was not uniform. This is due to the variations in the height of the nanotubes in the array; growing an array of CNTs with identical heights is very difficult. The result clearly indicates that the uniformity was improved by the µEDM surface treatment. µEDM cuts long nanotubes in the CNT forest pillar and creates a smooth surface as shown in Fig. 3. Interestingly, we also noticed that electron field-emission from the µEDMed surfaces occurred at lower electric fields. For example, we studied the electron emission from a chip that had three pillars, one machined with the height of ~62 µm and two bare CNT pillars with the heights of ~123 µm and ~145 µm, respectively (fig. 4). We observed that at 1100V , the electron beam only comes from the machined pillar. Cutting the nanotubes along the z-direction (along the height of nanotubes) with µEDM enables to study the electron emission irradiation for pillars with various heights under identical electric fields. For this, we imaged the electron emission pattern from two machined pillars with different heights and located on one chip (figs. 5a and b). As we see, the electron emission from the taller pillar is much brighter than the shorter pillar. This level of engineering can be achieved only through height control with a process such as µEDM and is not
possible with lith hography. Another un nique, enabling g aspect of µEDM µ – that of creeation of angled surfaces - is demonstratted in fig. 6: we fabbricated a 3D cone c with a diaameter of ~100 0 µm. As oppossed to tthe flat CNT piillars that generrate a wide elecctron beam, in the casse of the conee, the electron beam originattes only from the reggion around the tip, resulting g in a much sm maller spot on the phosphor screen (compare figs. 2a and 6b). This T opens up the possibility of combining th he advantagees of nanotu ube fieeld-emitters wiith the mechaanical robustn ness of the co one struucture.
Fig. 5. Im mage of electron em mission from two machined CNT foorest pillars with different heights: 120 m ((dark circle) an nd 150 m (brigght circle) at
E ~ 5 .5 10 5 V / m (aa) and E ~ 7 10 5 V / m (b)
Figg. 1. Experimentall setup
Fig. 6. SE EM image of cone m made by µEDM (aa) and photograph of field electron emission from that at E ~ 12 10 5 V / m (b)
IV. CONCLUSION
Figg. 2. Field-emission n from EDMed pillar p (a) and origin nal pillar (b)
Comppared to bare CNT forests, field-emissionn from CNT forests treated by µE EDM occurs att lower electriic fields and results iin a more unifform electron beam. In addiition, µEDM allows uus to create 3D D shapes in nannotubes, such ass a cone. The electronn beam resultiing from such structures is significantly more loocalized compaared to the beam originatingg from a flat CNT foorest. This unique ability of µEDM in term ms of height control and creation of angled suurfaces opens the door to engineeering a new cclass of field-emitters basedd on carbon nanotubbes. ACKNOWLEDG GMENT
graph of the surfacce of CNT forest pillar p after machiniing Figg. 3. Optical microg
This work was suupported by tthe Natural S Sciences and Engineeering Researchh Council, thhe Canada Fouundation for Innovattion, the Britiish Columbia Knowledge D Development Fund, tthe BCFRST Foundation aand the Britissh Columbia Innovattion Council. K K. Takahata iss supported byy the Canada Researcch Chairs proggram. We thaank Marina Lvvovsky from LEXEL L imaging systems, Inc., ffor making thhe phosphor screen. REFERENCEES [1]
[2]
d non-machined CN NT forest pillars Figg. 4. Machined and
M. Vahdani Mo ghaddam, P. Yaghoobi, andd A. Nojeh, “Po larization-dependeent light-induced tthermionic electronn emission from carbbon nanotube arrayys using a wide raange of wavelengtth”, Appl. Phys. Lettt., vol. 101, pp. 25 3110, 2012. M. D Dahmardeh, A. Noojeh, and K. Takahhata, “Possible meechanisim in dry micrro-electro-discharrge machining of carbon-nanotube fforests: A study of thhe effect of oxygenn”, J. Appl. Phys.,, vol. 109, pp. 093308, 2011.
The field emission from a composite of CNT and Graphene sheets by transferred method Ning Zhao Display Research Center, School of Electronic Science and Engineering, Southeast University, Nanjing 210096, People’s Republic of China E-mail address:
[email protected]
Abstract— CNT was transferred onto the graphene layer and the field emission property of this hybrid structure was tested by a diode structure device. Compared with the CNT directly grown on the silicon substrates, the hybrid emitter shows better performance in the field emission properties, such as high emission current. The results provide an efficient way to improve the field emission property of CNT by using graphene layer. Keywords— graphene, CNT, field emission.
I.
INTRODUCTION
CNT has been considered to be good candidates for field emission emitters, due to its high surface-to-volume ratio, thermal stability and good conductivity. However, the electron transport capacity and field screening effect limit the field emission property of CNT. In order to further improve its field emission performance, some research groups take some methods to modify the emitter, such as sputtering some metal material on the CNT surface, fabricating the composited emitters with carbon or oxide material. Recently , graphene has attracted great attention as a good field emitter for its excellent conductivity,good thermal transport property and sharp edges. Therefore, it is possible to combine the graphene and CNT to make composite emitter and further improve the field emission performance. In this work, CNT was directly transferred onto the substrate covered with graphene, and the sample was characterized by the SEM and Raman spectra. The field emission measurement was performed with diode structure in a vacuum chamber. II.
Chenyan Shi, Ke Qu, Chi Li, Wei Lei and Xiaobing Zhang* Display Research Center, School of Electronic Science and Engineering, Southeast University, Nanjing 210096, People’s Republic of China *Corresponding author E-mail address:
[email protected] sccm H2 was introduced to protect the substrate. Meanwhile, the temperature was increased to 1000 ℃ and kept for 20 mins, including 15 mins of annealing and 5 mins of deposition. In the growing process, CH4 with a flow rate of 20 sccm was introduced into the chamber as a carbon source and the pressure was kept at 130 Pa. At last, the sample was cooled down to the room temperature in H2 atmosphere. The obtained graphene was then transferred on the substrates by wet etching method which was introduced in other people’s work 1. The transferred graphene was characterized by the optical microscope, SEM and Raman spectra. The vertical align CNT arrays were obtained by PECVD method, the growing details can be found in our group’s work 2. The CNT transferred process included three steps as follows: (1). covered PMMA on CNT by spin coating method; (2). separated the CNT-PMMA layer from the silicon substrate in NaOH resolution; (3). transferred CNT-PMMA film onto the graphene substrate and cleaned the sample in deionized water. After these steps, the morphology of the obtained composite emitter was characterized by SEM in Figure 3. On this basis, a diode structure device was set up to test the field emission performance of composited emitter and the pure CNT emitter. III.
RESULTS AND DISCUSSIONS
Figure 1(a) and (b) display the typical surface morphology of CNT arrays.
EXPERIMENT DETAILS
Graphene in this study was synthesized by the CVD method. Firstly, 25µm thickness Cu foil was cleaned in hydrochloric acid (10%), acetone, ethanol and distilled water by ultrasonic for 15 mins, respectively. After that, the copper foil was put into the CVD chamber, and the vacuum pressure was maintained at 5 Pa by the mechanical pump. Then 60
Figure 1. (a), (b) SEM image of CNT grown with a Al/Fe catalyst
Figure 2(a) and (b) shows the SEM image of graphene. The graphene film was transferred onto the metal grid which is usually used for the TEM measurement. The wrinkles and edges of graphene can be clearly seen in this image. Figure 2 (c) shows the Raman spectra of the as-grown graphene transferred onto the Si substrate covered with 300nm SiO2. The major Raman features of graphene are the so called D, G, and 2D peak, corresponding to the Raman shift of 1350cm-1, 1580cm-1 and 2670cm-1, respectively. The D peak, which is disorder-induced, arises only in the presence of defects, impurities and edges. The G peak originates from the in-plane vibration of SP2 carbon atoms. The 2D peak which is the most prominent for the few layer graphene originates from a two phonon double resonance progress. Usually, the peak intensity ratio between I2D and IG can be used to denote the number of the graphene layers. From the Raman image, the lower D peak indicates the higher quantify of the sample. The intensity of 2D peak is very high and the ratio of I2D /IG is larger than 1, so the graphene is monolayer with excellent honeycomb structure.
was separated from the anode of ITO glass by two spacers with the thickness of 350μm . After mounting the sample in the vacuum chamber under the pressure of ~10-4 Pa, the field emission property was measured by a DC power at the room temperature. Figure 4 shows the variation in J-E characteristics of the Graphene-CNT composite (black curve) and as-grown CNT emitter (red curve), respectively. The experiment results show that the field emission property of CNT was significantly enhanced after using graphene as the electron transfer layer and the emitter. Due to the enhancement of graphene layer, the turn-on field was still kept at 1.5 V/μm , but the maximum current raised from 0.95 μA to 1.57 μA at the applied field of 3.25 V/μm.
Figure 4. J-E characteristic of the field emission
Figure 2. (a), (b) SEM image of graphene on metal grid, (c) Raman spectra of graphene on SiO2/Si substrate Figure 3(a) and (b) demonstrate the surface morphology of CNT covered on graphene layer.
There are many factors that may contribute to the enhancement of the field emission characteristics. One of the reasons probably is the ohmic contact between graphene and CNT nanowires. This contact determines the efficient electron transfer from graphene layer to the CNT emitter and improve the filed emission properties. In addition, graphene is also a good candicate for the field emission due to its edges and cracks acting as the additional emitter dots. ACKNOWLEDGMENT This work is supported by National Key Basic Research Pr ogram 973(2010CB327705), National Natural Science Foundat ion Project (51120125001, 51002031, 60801002, 60971017), F oundation of Doctoral Program of Ministry of Education (2010 0092110015), and the Research Fund for International Young Scientists from NSFC (51050110142, 61150110167, 51150110 160). REFERENCES
Figure 3. (a), (b) SEM image of CNT with PMMA covered on the graphene layer In order to test the field emission performance of the composited emitter and compare that with the as-grown CNT emitter, the sample was used as the cathode in a diode structure device, and the ITO glass is used as the anode. The cathode
[1]
Ji Won Suk, Alexander Kitt, et al. “Transfer of CVD-Grown Monolayer Graphene onto Arbitrary Substrates,” ACS NANO, Vol.5, pp.69166924,2011.
[2]
Chi Li, Wei Lei, et al. “High emission current density, vertically aliened carbon nanotube mesh, field emitter array,” Appl. Phys. Lett. Vol 97, 113107, 2010.
High-current edge emission source from aligned graphene Jianlong Liu, Nannan Li, Baoqing Zeng National Laboratory for Vacuum Electronics, School of Physical Electronics, University of Electronic Science and Technology of China, Chengdu, China. 610054 Abstract: Graphene sheet with high enhancement factor and low emission field were exfoliated from carbon fibers in carbon cloth. It was a new concept that kept the graphene sheets stay on the carbon fiber and were used, in situ, as emitters. Due to their high multistage enhancement factor and intrinsic low contact resistance, electron emission from these graphene required a low emission field. The thresholdfield corresponding to emission current density of 1mA/cm2 was about 0.7V/μm. This high enhancement factor was attributed to the effects of the high aspect ratio of graphene sheets and the geometry of carbon cloth.
Keywords: graphene; field emission;carbon fiber;low field I.
RESULTS AND DISCUSSION
A. Scanning electron microscopy Scanning electron microscopy (SEM) measurement has introduced to identify the free-standing graphene paper. SEM image in Fig. 1 showed the typical highly ordered macroscopic corrugated structures of the graphene paper. This kind of GOP is parallel piled up by horizontal orientation GS. It can be seen that that the fracture of GP had highly ordered structure. On contrast with the other kinds of deposition, the GP was parallel piled up by horizontal orientation GS. The highly ordered structure of graphene paper would serve as aligned edge electron emitters.
INTRODUCTION
Graphene has attracted tremendous attention in research, because of its intriguing properties and the promise of future applications in nanoelectronics. Due to the high aspect ratio, excellent conductivity and large quantities of edges, graphene can serve as an efficient edge emitter for field emission.1 Field emission from carbon based materials, such as diamond, carbon nanotube, carbon fiber, has been intensively studied before. However, graphene grown by chemical vapor deposition often results in high emission field because of their geometry and thickness.2,3 Getting the graphene from graphite by chemical oxidation had been proved to mass producible and inexpensive. This top-down method can easily get the graphene sheets less than 1nm. That showed the great expectation for high aspect ratio and low electric field emission. However, the chemical oxidation leaded to obtain the graphene sheets in respectively. Graphene sheets played individual role and results in low enhancement factor. Field emission from these graphene sheets was still a challenge because the GS should be collected by ways of filtration, 4 electrophoretic5 or pasting. 6 Field emission sites depended on random protrusions. II.
III.
EXPERIMENTAL DETAILS
We have recently reported that free-standing graphene paper (GP) can be filtrated out by micro-membrane filter.4 Prior to experiment, the GO paper was reduced by annealing at 500℃ for 1 hour to get the graphene paper (GP).
Fig. 1 SEM image of GP B. Sheet electron beam Field emission measurement was carried out in high vacuum chamber with parallel electrode. And the graphene paper was vertically fixed as a cathode. The diameter of anode was 1mm. Distance between anode and cathode was remained 400µm. The vacuum was kept about 6.0×10-7 Torr. Field emission test was list in Fig. 2. It was found to be potential edge emitter that the turn-on field was 2V/µm, and maximum emission current density was 5A/cm2. This high emission current was attributed to the effects of the high aspect ratio of graphene sheets and horizontal aligned graphene sheets emission array.
Fig. 4 showed the formation of loop electron pattern of GP emitter. It was rolled from sheet electron emitter and vertically fixed as emitter. This kind of specific electron beam would have the application potential in microwave source and other kind of electronic devices. IV.
Fig. 2 Field emission of GP
CONCLUSION
In summery, free-standing graphene paper was used as aligned edge emitter with high electron emission current density. The GP was reduced from flexible GOP, which was layered by highly ordered GS and edge field emission can be controlled. For the plastic property, the GP emitters had the potential to use as specific cathode in vacuum devices. ACKNOWLEDGMENT The work is partially supported by NSFC (Grant No. 60071043), the Doctor Station Foundation of the Ministry of Education of China (Grant No. 200806140007), Prestudy Foundation of Electronic Science Academic, and National Key Laboratory of Science and Technology on Vacuum Electronics.
Fig. 3 sheet electron beam Before the high temperature annealing, the GP was free-standing and flexible. The sharp of GP can be remodeled. As a result, the sharp of GP emitter can also remodeled after reduction. The corresponding emission pattern can also vary from sheet electron to loop electron beam and even pillared electron beam. To examine the emission of this edge emitter, GP was introduced to trigger the light phosphor. The phosphor was deposited on ITO glass and acted as anode. The electron from the edge of GP was a sheet electron beam. It can be seen from Fig. 3 that the sheet electron beam triggered the phosphor and made the streamline emission pattern. B. Loopt electron beam
Fig. 4 Loop electron beam
REFERENCES [1]
[2] [3]
[4]
[5]
[6]
S. Watcharotone, R. Ruoff, and F. Read, Possibilities for graphene for field emission: modeling studies using the BEM, Phys Procedia 1, 71-75, 2009. J. Wang, M. Zhu, R. Outlaw, Free-standing subnanometer graphite sheets, Appl. Phys. Lett. 85 , 1265, 2004. M. Bagge-Hansen, R. Outlaw, P. Miraldo et al., Field emission from Mo2C coated carbon nanosheets, J. Appl. Phys. 103, 014311. 2008. J. L. Liu, B. Q. Zeng, Z. Wu, Improved field emission property of graphene paper by plasma treatment, Appl. Phys. Lett. 97, 033109, 2010. J. L. Liu, B. Q. Zeng, Z. Wu, Enhanced Field Electron Emission of Graphene Sheets by CsI Coating after Electrophoretic Deposition, ACS Appl. Mater. Interfaces 4, 1219, 2012. J. H. Dong, B. Q. Zeng, Y. C. Lan, Field Emission from FewLayer Graphene Nanosheets Produced by Liquid Phase Exfoliation of Graphite, J. Nanosci. Nanotechnol. 10 , 5051-5055 2010.
Field emission enhancement in hydrogen ambience for multi-walled carbon nanotubes Changkun Dong,a) Haijun Luo, JIanqiu Cai, Xiji Shao Institute of Micro-nano Structures & Optoelectronics Wenzhou University Wenzhou, China a)
[email protected]
Abstract: The field emission behaviors in hydrogen, oxygen, and nitrogen ambiences are investigated for multi-walled carbon nanotubes (CNTs) synthesized directly on catalytic substrates. The study is conducted experimentally and theoretically from the first-principal simulation. The enhancement and recovery of field emission are observed with operating the CNT emitters in hydrogen ambience. The low emission currents could rise in proportional to hydrogen partial pressures above 10-9 Torr. Chemisorptions of atomic and ionized hydrogen on CNTs are considered the key factor for the field emission improvement, which is associated with the drops of effective work functions with the increase of hydrogen pressure. A low pressure hydrogen sensing concept is demonstrated with simple setup, tiny structure and high sensitivity. Keywords—field enhancement; sensing
emission;
I.
carbon
nanotube;
hydrogen;
INTRODUCTION
Field emission from carbon nanotubes (CNTs) has attracted great attention in last two decades due to their unique nano scale geometries, robust mechanical properties, and excellent emission performances. Gas adsorption on CNTs is considered a key factor influencing the emission behavior. Oxygen adsorbate could degrade the emission permanently from the reactive etching, and water exposure may improve the emission due to the establishment of a water-based tunneling state [1]. However, effects of hydrogen adsorptions varied from different studies. H2 molecules are normally considered to physisorb on nanotube surfaces, and stable emission under hydrogen exposures were reported [1-2]. Other groups observed emission improvements after H2 plasma treatments of CNT emitters, and the surface dipole is believed to reduce the effective work functions [3-4]. Our study showed a steady climbing of low emission currents in hydrogen ambiences contributed to the field emission assisted surface reaction process [5]. In this work, the field emission behaviors in hydrogen, oxygen, and nitrogen ambiences are investigated experimentally and theoretically from the firstprincipal simulation for multi-walled carbon nanotubes
Detian Lib) Science and Technology on Vacuum & Cryogenics Technology and Physics Laboratory, Lanzhou Institution of Physics Lanzhou, China b)
[email protected]
(MWNTs) synthesized directly on catalytic substrates. The enhancement and recovery of field emission are observed under hydrogen exposures, and low emission currents could rise in proportional to hydrogen partial pressures above 10-9 Torr. II.
EXPERIMENTAL
The MWNT samples were synthesized directly on catalytic substrates, including Ni, Ni alloy Hastelloy, and stainless steel substrates, by chemical vapor deposition from acetylene and argon under 750 ℃ . MWNTs grown on the substrate randomly with the film thickness of 1-2 µm, and the nanotubes are roughly even distributed with the tube distances in the similar level as the thickness (Fig. 1a). The tube diameters are typically around 30 nm and can reach 45 nm with layers in fifties (Fig. 1b). Most of the tubes are open ended, and catalyst particles of dimensions from subnanometer to over 10 nanometers are observed inside tubes, which is confirmed by EDEX analysis. The field emission experiments are conducted in a high vacuum turbo system.
Figure 1. Structures of MWNTs on Hastelloy substrate from TEM. (a) MWNTs distributed on the substrate randomly with the film thickness of 1-2 µm. (b) MWNT of 45 nm in diameter and layers in fifties.
Figure 2 shows the emission behaviors of the MWNTs on the Ni substrate with exposuring hydrogen and nitrogen in the order of 10-6 Torr. Emission currents increase over 100% after 3 hours hydrogen exposure, while the currents drop ~ 50% with a two-hour nitrogen exposure. Exposure of oxygen also causes current drops. However, emission currents decline in all three gas ambiences for MWNTs grown on stainless
steel substrates. This implies that the emission enhancement in hydrogen is associated with the CNT structures.
(a)
(b) Figure 2. Emission responses to hydrogen and nitrogen exposures for MWNTs grown on Ni substrate. (a) Current increase under 6x10-6 Torr hydrogen pressure. (b) Emission degraded seriously under 4x10-6 Torr nitrogen pressure.
Low current emission stabilities are further investigated in three gas ambiences for MWNT samples grown on Hastelloy substrates. As shown in Fig. 3, in 1x10-8 Torr hydrogen ambience, the emission currents increase by 220%, 128%, and 157% respectively under emission voltages of 520 V, 540 V and 560 V. The emission currents rise in faster paces with elevating the hydrogen partial pressures. Under hydrogen pressure of 3x10-7 Torr, the emission currents increase by 1260% (520 V), 1600% (540), and 1450% (560) respectively. With testing the emitters in 10-10 – 10-5 Torr hydrogen pressure, the emission climbing rates are proportional to hydrogen pressure, demonstrating a clear hydrogen sensing concept. However there are no clear trends of emission rises when the samples are tested in nitrogen and oxygen ambiences.
emission, µA
0.5
520 V 540 V 560 V
0.4
-7
3x10
Torr
20 uA
III.
Even H2 molecules are normally considered to physisorb on nanotube surfaces, chemisorption may occur after the dissociation of molecular hydrogen from a energy input [6,7], or the hydrogen plasma treatment of CNTs [3,4]. Under chemisorption between atomic or molecular hydrogen with carbon, a surface dipole is formed with the positive charge on the vacuum side because carbon is the more electronegative element. This dipole could assist the extraction of electrons from the nanotube from the lowering of the effective work function. The work function shift is proportional to the hydrogen adsorbate coverage[23]. The steady rises of the emission currents are likely due to the drops of surface effective work function with the hydrogen adsorption coverage increases. The higher the pressure, the largerer the coverage, leading to the bigger drops of work functions, thus the hydrogen sensing effect. The sensing behavior is also investigated for capped (5,5) nanotubes by the first-principle calculation based on the density functional theory (DFT) theory. There is a clear trend of work function drop with the increase of hydrogen coverage, and the work function is 4.95 eV, 3.96 eV, 3.11 eV, and 3.40 eV respectively corresponding to 0, 8%, 75%, and 100% hydrogen coverage, while work functions increase about 10%20% for nitrogen and oxygen adsorbets. IV.
1x10
-8
ACKNOWLEDGMENT This work is supported by NSF of China (Grants No. 11274244, No. 61125101). REFERENCES [1] [2] [3] [4]
Torr H2
0.1
[5] [6]
0 0
2
4
6
8
10 12 14 16 18 20 22
time, min
Figure 3. Steady climbs of low emission currents under 10-8 Torr and 10-7 Torr hydrogen pressures for MWNTs on Hastelloy.
CONCLUSION
Field emission enhancement and low pressure hydrogen sensing concept are demonstrated for MWNT emitters grown on catalytic substrates. The reduction of the effective work function due to the surface dipole is considered the key factor, while nanotube structures play role.
0.3 0.2
SIMULATION AND DISCUSSION
[7]
K. A. Dean, B. R. Chalamala, Appl. Phys. Lett. 75, 3017 (1999). S. C. Kim, et al., Adv. Mater. 13, 1563 (2001). C. Y. Zhi, X. D. Bai, E. G. Wang, Appl. Phys. Lett. 81, 1690-1692 (2002). P. Ruffieux, O. Groning, M. Bielmann, P. Mauron, L. Schlapbach, and P. Groning, Phys. Rev. B 66, 245416 (2002). C. Dong, M. Gupta, Appl. Phys. Lett. 83, 159 (2003). K. A. Williams, B. K. Pradhan. P. C. Eklund, M.K. Kostov, and M. W. Cole, Phys. Rev. Lett. 88, 165502(2002). J. S. Arellano, L. M. Molina, A. Rubio, M. J. López, and J. A. Alonso, J. Chem. Phys. 117, 2281(2002).
Poster Session I
Mechanism for Electron Emission from Scandate Cathodes Lei Chen, Tao Huang, Quan Hu and Bin Li National Key Laboratory of Science and Technology on Vacuum Electronics, School of Physical Electronics, University of Electronic Science and Technology of China Chengdu, Sichuan, P. R. China E-mail:
[email protected]
Abstract—A new concept for electron emission from scandate cathodes is proposed, in which electron emission results from a serial two-step mechanism: The first step is the injection of electrons from the matrix into the surface layer, followed by a second step which is electron emission from the surface layer into the vacuum. The theoretical results agree well with the experimental results. Based on the theoretical results, the electron emission mechanism is explained. Analysis results show that the emitted electrons originate from the matrix which is a Ba on W structure, then inject into the Ba-Sc-O layer which consists of Ba3Sc4O9 and BaO, finally emit into the vacuum. Keywords—Electron emission model; scandate cathode; thermionic cathode; work function
I.
INTRODUCTION
Scandate cathodes which exhibit the highest level of emission capability compared to other thermionic cathodes can provide current densities of over 100 A/cm2 and can operate stably to some extent which make them the only candidate of the thermionic cathodes to meet the requirement for high current densities by high-power vacuum electron devices(VEDs) for millimeter-wave and terahertz ranges[1]. However, since previous researches on scandate cathodes focus on experimental aspects, lacking the research on the working mechanism, there is still skepticism about the practical application of scandate cathodes due to the disagreement and uncertainty on the emission models and the surface structure of scandate cathodes. For this reason, it is of great significance to study the operating mechanism of scandate cathodes. In this paper, a two-step electron emission model for scandate cathodes is proposed. The theoretical results agree well with the experimental results. Based on the theoretical results, the electron emission mechanism is explained. II.
TWO-STEP PROCESS ELECTRON EMISSION MODEL
In contrast to the ideal cathode, most real thermionic cathodes have nonuniform work functions. Therefore, electron emission varies from one particular location of the cathode to another. Some areas operate in the space-charge-limited region, and some operate in the temperature limited region, which influence the operating performance directly.
In our calculation, the total current density J is given by[2] 1 1 1 J J SCL J TL
(1)
The current density in the space-charge-limited region
J SCL is given by Child-Langmuir law: 3
J SCL
V2 2.33 10 d2 6
(2)
The current density in the temperature limited region is given by Murphy and Good[3]: jTL e
Wa
D( E , W , T ) N T , EF ,W dW
(3) 4 mkTe Vmax D( E , W , T ) ln 1 exp W EF / kT dW 3 Wa h 4 mkTe Vmax ln 1 exp W EF / kT dW h3
=
where -Wa is the effective constant potential energy inside the matrix. Vmax is the maximum value of the potential energy. h is the Planck’s constant. m is the effective mass of an electron which is approximated here by its rest mass. W is only the part of the energy for the motion normal to the surface.
N T , EF , W is the electron density with the energy W at
temperature
T,
usually
called
the
supply
function.
D( E ,W , T ) is the transmission coefficient or tunneling probability. The band structure of scandate cathodes in the temperature limited region is shown in Fig.1, in which Region 1 is the matrix, Region 2 is the Ba-Sc-O semiconductor layer, Region 3 is the vacuum, Wm and EF denote the work function and Fermi level of the matrix respectively,
and Ec
denote the
electron affinity and the bottom of the conduction band of the semiconductor layer respectively, qns is the barrier height formed at the interface between the matrix and the semiconductor layer, E0 is the vacuum level, is the conduction band bending due to penetration of electric field in
the semiconductor layer, T film is the thickness of the
layer, finally overcome the surface barrier formed at the semiconductor surface and escape into the vacuum.
semiconductor layer. V(x)/eV 1
2
3 E0
EC
Wm
qns EF 0
Tfilm
x/m
Fig.1 Band structure of scandate cathodes in the temperature limited region
III.
Fig.2. I-V plot for scandate cathodes at different temperatures, with
RESULTS AND DISCUSSION
The two-step process electron emission model is applied to a BVERI impregnated scandate dispenser cathode[4]. As shown in Fig.2, the best fitted work functions of the matrix Wm are between 1.59eV and 1.61eV, about 1.6eV, belonging to the scope of the work functions of Ba on W structure[5], which indicates that the matrix ,i.e., the electron emission source is a Ba on W structure. Then according to the phase diagram for the BaO-Sc2O3 binary system[6] and Wang et al.’s XRD results of scandate cathodes[7], we conclude the main chemical composition of the semiconductor layer is Ba3Sc4O9 and BaO. Finally, the electron emission mechanism is explained as follows. After activation, a surface multilayer of Ba-Sc-O with semiconductor properties whose main components are Ba3Sc4O9 and BaO forms on W matrix. Numerous free Ba atoms are released from constituents produced during impregnation and activation by reactions between the matrix materials and the impregnants[8], in which a portion adsorb on the surface of W substrate and construct the Ba on W structure, a portion diffuse into the surface Ba-Sc-O layer, release electrons into the conductor band of the semiconductor with heat and become Ba ions, a portion evaporate into the vacuum. Emitted electrons origin from the matrix which is a Ba on W structure, first overcome the contact barrier formed at the interface between the matrix and the surface layer and inject into the semiconductor layer, then transport in the Ba-Sc-O
qns
of 1.3eV and the concentration of electrons in the conduction band of the semiconductor layer n0 of 1016e/cm3.
REFERENCES [1]
[2]
[3] [4]
[5]
[6]
[7]
[8]
Y. Wang, J. Wang, W. Liu, L. Li, Y. Wang, and X. Zhang, “Correlation between emission behavior and surface features of scandate cathodes,” IEEE Trans. Electron. Devices, vol. 56, no. 5, pp. 776–785, May. 2009. R. Vaughan, “A synthesis of the Longo and Eng cathode emission models,” IEEE Trans. Electron Devices, vol. 33, no. 11, pp. 1925–1927, Nov. 1986. E. Murphy and R. Good, “Thermionic emission, field emission, and the transition region,” Phys. Rev., vol. 102, no. 6, pp. 1464–1473, Jun. 1956. H. Yuan, X. Gu, K. Pan, Y. Wang, W. Liu, K. Zhang, J. Wang, M. Zhou and J. Li, “Chracteristics of scandate-impregnated cathodes with submicron scandia-doped matrices,” Appl. Surf. Sci., vol. 251, pp.106-113, Sep. 2005. P. M. Zagwijn, J. W. M. Frenken, U. van Slooten and P. A. Duine, “A model system for scandate cathodes,” Appl. Surf. Sci., vol. 111, pp.35-41, Feb. 1997. S. H. Magnus, D. N. Hill, and W. L. Ohlinger, “Thermochemistry in the BaO · Sc2O3 · WO3 ternary system,” Appl. Surf. Sci., vol. 111, pp. 50– 55, Feb. 1997. W. Liu, J. Wang, Y. Wang, Y. Cui, X. Zhang, and M. Zhou, “XRD analysis of scandate cathodes,” in Proc. IVEC, Bangalore, 2011, pp. 407–408. S. Yamamoto, “Fundamental physics of vacuum electron sources,” Rep. Prog. Phys., vol. 69, no. 1, pp. 181–232, Jan. 2006.
Optically Modulated Electron Emission from Nanocrystalline Silicon based Metal-oxide-semiconductor Cathodes Hidetaka Shimawaki
Yoichiro Neo, Hidenori Mimura
Fujio Wakaya, Mikio Takai
Department of System and Information Engineering, Hachinohe Institute of Technology Hachinohe, Japan
[email protected]
Research Institute of Electronics, Shizuoka University Hamamatsu, Japan
Center for Quantum Sci. and Technol. under Extreme Conditions Osaka University Machikaneyama, Japan
Abstract—The photoresponse of electron emission from metaloxide-semiconductor (MOS) cathodes based on nanocrystalline silicon is experimentally studied for optically generating a train of short electron bunches. Nanocrystalline silicon film was prepared by pulsed laser ablation using an Nd:YAG laser with the fourth harmonic (266 nm). We obtained a pulsed electron beam from the cathode device modulated by 405-nm laser pulses. The photoresponse in the cathode device was faster than submicrosecond. Keywords- photoresponse; photo-modulated electron beam; MOS cathode; nanocrystalline silicon
I.
INTRODUCTION
Generation of ultrashort electron bunches with high frequency from micro-cathodes is very attractive in variety of vacuum nanoelectronics device applications. In particular, bunching the electron beam at the cathodes can dramatically reduce dimensions and weight through the elimination of the premodulation circuit in electron beam devices, in addition provide the extremely high efficiency by the coherent effect. We have developed Smith-Purcell radiation light source using FEAs as a compact and tunable, high efficient terahertz wave source [1-3]. The light source, however, was very weak because of low effective travelling beam current. Superradiant by pre-bunched electron beam is a promising way to improve extremely the SPR intensity. Producing electron bunches from semiconductor cathodes by optical means is one of methods. The emission current from p-type semiconductor field emitter arrays, unlike metals, is limited by the supply of minority carriers (electrons) in the depletion region in the high field, and is, therefore, highly sensitive to the additional supply of photoexcited carriers. Several studies have explored in detail the transient photoresponse of gated p-type Si FEAs and found that it was limited by diffusion of photogenerated electrons outside the surface depletion region in the tip [4-6]. To generate a high frequency modulated electron beam, we need to design the emitter structure which eliminates phtoexcition of carriers outside the depletion region.
One suitable structure is a metal-oxide-semiconductor (MOS) type cathode because the depletion layer could expand sufficiently thickly at the surface under the gate electrode [7]. In this paper, we investigate the photoresponse of a p-type MOS cathode based on nanocrystalline silicon (nc-Si) under illumination of a 405-nm pulsed diode laser. II.
EXPERIMENTS
Figures 1 and 2 show a schematic of the cathode structure and the fabrication process of the cathode device. The nc-Si based MOS cathode is consists of p-type Si substrate deposited with nanocrystalline silicon covered with a thin oxide film as an electron source and a thin top metal electrode as an extraction gate. The resistivity of the Si substrate is 0.3-0.5 cm. Nc-Si films were deposited by pulsed laser ablation using an Nd:YAG laser with the fourth harmonic (266 nm). During deposition process the surface of each Si nanocrystal was oxidized by oxygen radical beam exposure. In this experiment, a Pt film with the thickness of 3 nm was deposited onto the nc-Si surface as the gate electrode. A thick Al contact pad was created around the Pt gate. The cathode device has an array with 19 active emission area with 50 m in diameter with 100-m pitch in a 500-m circle. We mounted the cathode device into a vacuum chamber which was evacuated down to the base pressure below 2x10-6 Pa and the cathode device was irradiated by a 405-nm pulsed diode laser system with a repetition frequency of 100 kHz, with 50 % duty cycle which was driven externally by a pulse function generator. The original rise of the laser pulse was less than 1 ns. The laser beam was focused onto the cathode surface with a spot size smaller than 0.5 mm in diameter, at an incidence angle of 45°. A part of the laser beam was introduced into a Si photodetector using a beam sampler (T:R = 95:5). The emitted electrons were collected by an anode placed 10 mm above the device. The emission current flowing into an anode was converted to voltage using a current-voltage conversion amplifier set a gain of 106 A/V. The minimum rise time of the amplifier in this gain was 0.2 s.
5 0
Emission signal [mV]
8
PD signal [mV]
10
6
4
2
0 0
10
20
30
40
Time [s]
(a)
Figure 1. Schematic of a p-type MOS cathode based on nanocrystalline silicon and the energy band diagram.
10 4
5 2
0
PD signal [mV]
Emission signal [mV]
6
0 18
20
22
24
26
Time [s]
(b) Figure 3. waveforms produced by the emission current from the cathode device and the signal of the reference photodetector by 405 nm laser pulses with the power of 13.6 mW (a) and the magnified signals in the rising and falling phases of the photoresponse (b).
IV.
Figure 2. Fabrication process of the nc-Si MOS cathode
III.
RESULTS AND DISCUSSION
Figure 3 (a) shows the waveforms produced by the emission current from the cathode device and the signal of the reference photodetector for the excitation light power of 13.6 mW, and the magnified signals in the rising and falling phases of the photoresponse in the cathode device is shown in Fig. 3 (b). In the figures, the impulse-like signal at the rising edge detected by the photodetector comes from an actual diode laser pulse signal. A pulsed emission current, whose profiles is similar to that of the photodetector, were observed, indicating that a modulated electron beam was generated from the cathode device under the illumination of laser pulses. The rise time and fall time of the photoresponse in the cathode device were about 0.4 s and 0.6 s, respectively. Considering the response time of the amplifier is 0.2 s, the emitted electrons might include the slow diffusion carriers which were photoexcited by the misalignment of the irradiation position.
CONCLUSION
We have demonstrated optically-modulated emission current from the nc-Si based MOS cathodes, induced by 405nm laser pulses. The photoresponse in our cathode device is at least faster than submicrosecond. Our result shows that the MOS cathode is a structure suitable for minimizing the photogeneration of electrons outside the depletion layer, giving a high-speed modulated electron beam. REFERENCES [1] [2] [3] [4] [5] [6] [7]
H. Ishizuka, Y. Kawamura, K. Yokoo, H. Shimawaki, and A. Hosono, Nucl. Instrum. Methods. Phys. Res. A 445, pp.276-280, 2000. Y. Neo, Y. Suzuki, K. Sagae, H. Shimawaki, and H. Mimura, J. Vac. Sci. Technol. B 23, pp. 840-842, 2005. Y. Neo, H. Shimawaki, T. Matsumoto, and H. Mimura, J. Vac. Sci. Technol. B 24, pp. 924-926, 2006. H. Ishizuka, Y. Kawamura, K. Yokoo, H. Mimura, H. Shimawaki, and A. Hosono, Nucl. Instrum. Methods. Phys. Res. A 483, pp.305-309, 2002. Mimura, H., Ukeba, T., Shimawaki, H., and Yokoo, K., J. Vac. Sci. Technol. B 22, pp.1218-1221, 2004. C.- J. Chiang, K. X. Liu, and J. P. Heritage, Appl. Phys. Lett. 90, 083506 (2007). J. Ikeda, A. Yamada, K. Okamoto, Y. Abe, K. Tahara, H. Mimura, and K. Yokoo, J. Vac. Sci. Technol. B 16, 818 (1998).
Characterization of nickel oxide films fabricated under the optimized annealing temperature ∗
Zhi Li, Jing Chen, Khan Qasim, Chi Li, Wei Lei Display Research Center, School of Electronic Science and Engineering Southeast University Nanjing, P.R. China
[email protected] Abstract: Nickel oxide (NiO) nanoparticles were synthesized by sol–gel method, and the thin films used as hole transporting layer (HTL) were fabricated by spin-coating technique under different postannealing temperatures. The morphology and electrical characteristics of the NiO films have been investigated by (SEM), atomic force microscope (AFM), and UV-visible absorption spectroscopy.
The properties of NiO films were characterized by scanning electron microscopy (SEM), Atomic Force Microscope (AFM), EDX, UV-vis absorption, and gradiometer.
Al NiO ITO
Keywords: nickel oxide, hole transporting layer, annealing temperature, surface roughness
Glass
Figure 1: the structure for test I-V Introduction In recent years, due to the special nano-size and unique properties, oxide nanoparticles have received searchers attention. Hole transport layers must have high optical transparency, good chemical stability, a large ionization potential, and good electron blocking capability. Except for the excellent chemical stability, good optical, electrical , and magnetic properties, nickel oxide (NiO) nanoparticles with uniform size dispersed in solution and wet processable, which is emerging as an alternative HTL for newly quantum-dot based light emitting diode (QD-LED) [1] and solar cell [2]. NiO nanoparticles have been fabricated by various physical and chemical methods include, including sol–gel method , sputtering [3], spray pyrolysis [2], electrochemical precipitation [4]. Nickel oxide crystallizes in cubic structure, and pure, stoichiometric NiO is an excellent insulator, with room temperature conductivity on the order of 10 − 13 S cm − 1, while non-stoichiometric NiO is a wide bandgap p-type semiconductor [5]. In this paper, we use nickel acetate tetrahydrate into organic solvent as the sol-gel precursor to prepare NiO. After spin-coating of NiO film on ITO glass, HTL film was then annealed under different temperatures (250˚C, 300˚C, 350˚C, 400˚C, 450˚C and 500˚C ). The optical and electrical properties of NiO films under different annealing temperatures were also studied. Experiment 1.99 g nickel acetate tetrahydrate (Aldrich) and 0.84112 g diethanolamine crystallization were added into 20 ml methanol. The solution was stirred for about one hour and kept a temperature of 60˚C to obtain NiO sol-gel solution. ITO glasses were cleaned by ultrasonic treatment in detergent, purified water, acetone and propanol for 15 minutes before drying. NiO films were prepared by spin-coating of sol-gel solution on the ITO slides under ambient conditions at a spin speed of 3000 rpm for 30 s. Samples were then placed immediately into a tube furnace and annealed under air at 250˚C , 300˚C , 350˚C , 400˚C , 450˚C , 500˚C for 30 min, respectively. The resistive of these samples was tested. Al was evaporated as electrode on NiO layer by thermal evaporation under annealing 10 min with 100℃ .The structure for resistive test was shown as Figure 1.
Results Figure 2 shows the absorption spectra of NiO films under the different annealing temperature from 250 ˚C -500 ˚C . it can be seen that the absorption intensity is increased from 250-400 ˚C , and then decreased when the temperature raised to 500 ˚C.
Figure. 2. Absorption spectra of NiO films at different substrate temperatures.
Figure 3: (a) Representing the I – V curves of ITO/NiO/Al with different annealing temperature ( 250˚C , 300˚C , 350˚C , 400˚C , 450˚C , 500˚C ). (b) Representing the resistivity of NiO films derived from the I–V curves. Figure 3 shows the current versus voltage (I-V) characteristics of NiO films fabricated under different
temperatures. It can be seen that the resistive of NiO film was reduced to the lowest value of 33.8 Ω when the annealing temperature increased to 350 ℃. After that, the resistive of the NiO film was increased as the temperature raised.
Figure 5 shows the thicknesses of NiO films at different heating temperatures. The thickness of NiO film was lowered as the annealing temperature increased and only 20 nm can be obtained when the heating temperature was 500 ℃. Figure 6(a) and (b) show AFM height image and surface roughness curve of the films NiO deposited on ITO at different heating temperatures. The lowest value of roughness of NiO film can be obtained when the annealing temperature increased to 350-400℃, indicating the surface was very smooth at this temperature period.
Figure6. (a) AFM image of the films NiO deposited on ITO. (b)Surface roughness of NiO films at different heating temperatures. Figure4. (a) SEM image of the films NiO deposited on ITO. (b) Energy-dispersive X-ray spectroscopy (EDX) image of NiO. The inset table represents the quantitative results of EDX Figure 4(a) shows the SEM image of NiO film. Figure 4(b) shows the energy-dispersive X-ray spectroscopy (EDX) analysis of NiO film representing various elements composing the prepared films. The inset table shown in Figure 4(b) represents the quantitative presence of various elements confirming that the film is mainly composed of nickel and oxygen with almost equal weights.
Figure5. Thickness of NiO films at different heating temperatures.
Conclusion We have studied the optical and electrical characterization of NiO films fabricated under the optimized annealing temperature. It is found that NiO film have lowest resistive and roughness as the annealing temperature increased to 400˚C. References [1] Caruge J M, Halpert J E, Bulovic V, et al. NiO as an inorganic hole-transporting layer in quantum-dot lightemitting devices[J]. Nano letters, 2006, 6(12): 2991-2994. [2] Kamal H, Elmaghraby E K, Ali S A, et al. Characterization of nickel oxide films deposited at different substrate temperatures using spray pyrolysis[J]. Journal of crystal growth, 2004, 262(1): 424-434. [3] Chen H L, Lu Y M, Hwang W S. Characterization of sputtered NiO thin films[J]. Surface and Coatings Technology, 2005, 198(1): 138-142. [4] Srinivasan V, Weidner J W. Studies on the capacitance of nickel oxide films: effect of heating temperature and electrolyte concentration[J]. Journal of The Electrochemical Society, 2000, 147(3): 880-885. [5] Jesse R, Manders, Sai-Wing Tsang. Solution Processed Nickel Oxide Hole Transport Layers in High Efficiency Polymer Photovoltaic Cells[J].Advanced Functional Materials,2013:1-9.
Research and development of the thermionic energy conversion method V.E. Ptitsin Institute for Analytical Instrumentation of the RAS, St. Petersburg, Russia, e-mail:
[email protected] Abstract - State-of-the-art of the thermionic energy conversion method is studied. Based on the analysis of the thermionic energy conversion method concluded that the “potential of a development” of this method has been exhausted. Proposed and founded a new physical approach for the effective transformation of thermal energy to electrical energy in the vacuum electron emission energy converters as thermionic, extended Schottky and thermal field electron emission cathodes. Keywords - energy conversion; thermionic emission; thermal field emission
I.
INTRODUCTION
In the proposed method for compensation of an electron emission flux SC field in an electron-optical system (EOS) use a special electrode- extractor. In order to compensate the SC field at a relatively low values of an extractor potential (Ve), must be used cathodes with the micro-or nanostructured emission surface. To transfer e-flux from the cathode to the collector with a potential that approximately equal to the contact potential difference (between the cathode and the collector) it was suggested to use the magnetic isolation method. Magnetic isolation prevents an electron current on the extractor. Illustration of the proposed approach, explaining the principle of the EOS energy converter building, is shown in fig. 1.
Advances in microscale and nanoscale technologies have generated renewed scientific interest in direct thermal-to electrical energy conversion. These advances have provided researchers with new tools to explore physical phenomena that were previously inaccessible. For example, the controlled fabrication of one- and two-dimensional nanoscale structures has fostered significant improvements in the efficiency and capacity of materials and devices [1, 2]. State-of-the-art of the thermionic energy conversion method is studied. Noted that a fundamental physical cause limit the effectiveness and stability of the thermionic energy converters is the effect of the emitted electrons space charge (SC) field on the electron emission and electron transfer processes [3]. Based on an analysis of the current state of the thermionic energy converters devices concluded that on the basis of traditional approaches a “potential of a development” of the thermionic energy conversion method has been exhausted. In this regard, in this work, we study the opportunities for improving the technical characteristics of the vacuum electron emission energy converters by means of the following factors: (i) by microstructuring of the electron source (cathode) emission surface and (ii) by physical “separation" of the two interrelated processes – electron emission and electron transport processes. In the presented work discuss new direct thermal-to electrical energy conversion method for vacuum electron emission systems with microstructured emission surface of the electron sources (cathodes). II. PHYSICAL AND NUMERICAL SIMULATION OF THE NEW THERMAL-TO ELECTRICAL ENERGY CONVERSION METHOD
Figure 1. Scheme illustrating the phenomenology of an emission and electron transport processes in an EOS of the energy converter with the structured emission surface of an electron source; here is indicated by: Te, Tc, Φe, Φc are the temperature and work function of the emitter and collector, respectively; B is the magnetic field induction
It is important to note that the proposed scheme of the energy conversion process, depending on the Ve, allows to initiate different mechanisms (modes) of an electron emission from the structured cathode surface: a) thermionic emission (J ≤ 102A/cm2), b) extended Schottky emission (~102A/cm2 ≤ J ≤ 105A/cm2) and c) thermal field emission (104A/cm2 ≤ J ≤ 107A/cm2) [3, 4]. In view of the above, the proposed method can be called thermal field emission energy conversion method and the corresponding energy conversion device can be called thermal field emission energy converter (TFEC). Using this approach, was performed numerical simulation [5,] of the TFEC with the electron sources (cathodes), whose emission properties and geometry are capable to operate in thermionic, thermal field and extended Schottky emission modes (figures 2-4).
Figure 2. 3D configuration of the EOS for TFEC in the thermionic emission mode, (uniform magnetic field induction Bz; Bx = By = 0; total current = -1.871 mA; average cathode brightness = -2.106E+00 A/ster.cm2; beam brightness = 1.222A/V.ster.cm2; maximum current density from any part of cathode= 6.616E+01 mA/mm2)
Figure 4. 2D and 3D configurations of the EOS for TFEC in the extended Schottky emission mode. (To insert the figure shows the area near to the microemitter top and elements of some electron trajectories). (emitter is the 1 μm whisker; Vcat = 0V, Ve = 800V, Vc = 1V; uniform magnetic field induction Bz; Bx = By = 0; total current = -1.14E-03 mA; average cathode brightness = -5.665 A/ster.cm2; beam brightness = -3.290E+01 A/V.ster.cm2, maximum current density from any part of cathode= 1. 666E+02 mA/mm2) Figure 3. 3D configuration of the EOS for TFEC in the thermal field emission mode (a) and self-consistent field potential distribution in the XZ plane (b), (emitter is the 1 μm sphere; the potential of the emitter is equal to 0 V; extractor potential is equal to 3000V; collectors potential are equal to 1V; Te = 300K; φ1 = - 6812V; φ9 = -1312V; φ10 = 11V; induction of a uniform magnetic field is Bz; Bx = By = 0; total current = -1.528E+00 mA; average cathode brightness = -1.857E+04 A/ster.cm2; beam brightness = -1.078E+06 A/V.ster.cm2; the trajectories of the beam are blue).
Thus, the results of the modeling show that practical development of TFEC with structured emission surface cathodes will (probably, in the near future) create devices for effective conversion of thermal (at Te~2000 - 2500K) energy and environment thermal (at Te ~300K) energy to electrical energy. Finally, note that the proposed method can also be applied to the efficient conversion of an absorbed (by nanoheterostructured surface of the ZrO2/W cathode [2]) radiation energy (infrared range) to electrical energy.
REFERENCES [1]
L. D. Hicks and M. S. Dresselhaus, “Effect of Quantum-Well Structures on the Thermoelectric Figure of Merit”, Phys. Rev. B, 1993, 47, p. 12 727.
[2] V.E. Ptitsin, “Phenomenological model of an abnormal thermal field electron emission from the 2D nanoheterostructured surfaces”, Journal of Physics Conf. Ser., 291, 2011, p. 012019. [3] G.N. Hatsopoulos and E.P. Gyftopoulos, Thermionic Energy Conversion, vol. I, 1973; vol.II, 1979; MIT Press, Cambridge, MA. [4]
A. Modinos, Field, Thermionic and Secondary Electron Spectroscopy, N.Y.; Plenum Press, 1984, 375p.
[5]
V.E. Ptitsin and V.F., Tregubov, “A high power density submicron electron beam source”, Ultramicroscopy, 2003 95, 131.
[6] Charge Particle Optics programs; http://www.electronoptics.com/
1
ZNO nanowires applied in transistor for driving active FE-device LiuXiang, LiChi, LeiWei* Electronic science and engineering school, Southeast University, Nanjing, China,
[email protected]
Abstract—It is reported that A transistor utilizing the ZNO nanowires (NWs) as the active layer is applied for driving active triode structure gated Field emission device (FED). With a quite low TFT (thin film transistor) gate voltage (2.2~3.8v) control, the transistor can modulate the relatively high FED gate voltage (~70v) and provide the working emission current (~90uA). Though the application of AM-FED driving by TFT still needs some endeavors, this work will broaden a new researching thought to realize this active vacuum micro-electronic device. Index Terms—Current driving method, FET-Modulated structure, CNT field emission device, high-speed pulse signal.
I. INTRODUCTION
T
he Vacuum micro-electronic devices have tons of potential
applications in display panels, high-frequency amplifiers, X-ray sources and etc., by using the cold-cathode emission tech (Field emission) [1]-[3]. However, empirical evidence abounds in the respect that the Field emission device (FED) is lagging behind other solid-state device especially in the display field, for instance, TFT-LCD, AM-OLED [4]-[6]. Indeed, given that the quality of display, FED should not be ignored to take into practice. As a consequence, the main reason that giving rise to that phenomenon is the difficulty to combine the field emission device (FED) with the new tendency, active matrix addressing. And this difficulty turns out to hinge on two inherent shortages consisted of in FED, the relatively higher working voltage and emission current. In fact, there are no opportunities for the researchers to utilize TFT driving FED if continuing to use diode structure FED. But the appearing of triode gated FED make it reasonable for the gate-cathode voltage is much lower (~70V) [7]-[8] compared to the counterpart using diode structure. Nevertheless, the traditional α-Si TFT or other silicon thin film transistor are basically hard to use for this application Manuscript received June 7th, 2013. This work was supported in part by National Natural Science Foundation Project (51202027, 51002031, 51120125001, 60801002, and 60971017), China Postdoctoral Science Foundation(2012M511648), National Key Basic Research Program 973(2010CB327705), Foundation of Doctoral Program of Ministry of Education (20100092110015), and the Research Fund for International Young Scientists from NSFC (51050110142, 61150110167, 51150110160). The authors are with the Display research center, Jiangsu Province key engineering research center, School of electronic science and engineering, Southeast University, Jiangsu 210096, P. R. China (e-mail: lw@ seu.edu.cn).
because that their mobility and withstand voltage cannot meet this demand. Consequently, oxide semiconductor should be taken into consideration because of their excellent mobility (at least 10 times than silicon). In this paper, the ZNO nanowires (NWs) transistor is designed that realize the premiere demand to drive the triode structure FED. At last, we test the characters of the ZNO NWs device and produce a sub-pixel TF-FED by connected with a triode gated FEA as shown in the equivalent circuit diagram. Though there are still some problems to barrier this vacuum micro device, basically the result of the test illustrates that it is feasible to realize this active control of the FED. II. DEVICE STRUCTURE AND FABRICATION So essentially, current driving method only needs a low voltage circuit to modulate the current source and control the emission source indirectly. As seen in fig1 (a), the drain electrode of a commercial MOSFET (IRF740) is chosen as an expansion cathode linked with the emitter arrays. And the carbon nano-tube field emitter is printed on the drain by the normal screen print (as seen in the fig.1(c)). The device is performed at 350 oC for 5h in the muffle and the photoresist is etched by acetone solution finally. The wafer is shown in figure.1 (a) that consists of the heavy doping Si (150nm) as the poly-Si gate electrode and silicon oxide as the insulator layer (350nm). As for the S/D electrode, Ti/AL electrode is formed over the photolithography process. Through a series of photoresist coat, bake and UV exposure, the photoresist layer is developed. After that, the magnetron sputtering deposition method is used and the S/D metal electrode will be formed by the etching process. Meanwhile, ZNO NWs is fabricated by a ubiquitous hydrothermal method, which will be used as the active layer through a coining process on the channel region.
2
FET DRAIN
FET SOURCE
ANODE
spacer
FET GATE
Field emission array
(e) Fig.1. (a) The wafer with formed S/D metal electrode. (b) The equivelent circuit diagram. (c) The structure of that micro device and the basic process to fabricate. (d) SEM image of the micro device and the SEM image of the TFT channel. (e) The fabrication procedure for screen printing CNT on the ZNO NW TFT’s drain electrode.
Fig.1 (e) shows the mass of Carbon nano-tube field emitters on the drain electrode of the SEM. The directionality of the emitters is not very well by reason of taking the screen print means. The area of the printed field emitters is 0.8mm×4.3mm. Finally, the glass (thick=650μm) spacers are used to package the device and support the vacuum structure (see in fig1 (a)). III. EXPERIMENT AND ANALYSIS The three samples of the FE device are tested (tested in a vacuum chamber) in this paper(as seen in fig.2 (a)). The first one (the red in the figure) is the conventional FE device which the plate distance is 300um, which is tested in the in the vacuum (