Jun 8, 1998 - Thanks to the EE computer system administrators: Melissa Thrush and Diane Calleson. ...... the standard 74X-series gate-level designs [67], a property that has ...... tors produce a SSL gate level fault coverage of 290/310 = 93.55%. ...... HWH. HS. M â. E 1-1 r-l. H 0*0. w o. H-rt JJ. O (d tf 0) tn. ^.i ffl. - M. -H. H.
Behavioral Fault Modeling in a VHDL Synthesis Environment
A Dissertation Presented to the Faculty of the School of Engineering and Applied Science University of Virginia
In Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy (Electrical Engineering)
by Ronald J. Hayne DISTRIBUTION STATEMENT A Approved for Public Release Distribution Unlimited
DTIC QUALITY INSPECTED"4*
May 1999
19990701 057
Approved for public release; distribution is unlimited.
© Copyright by Ronald J. Hayne All rights reserved May 1999
APPROVAL SHEET This dissertation is submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy (Electrical Engineering)
m^~
Ronald J. Hayne
This dissertation has been read and approved by the Examining Committee:
Barry W. Johnsesr Disserc^on Advisor VcJ-^o kW:
JoanneSBechta Dugan, Committee Uiair
Jojwr'C. Knight, Minor Representative
Stephen G. Wilson
ifcea R. Stan Accepted for the School of Engineering and Applied Science:
Dean, School of Engineering and Applied Science May 1999
Abstract Integrated circuit designs continue to increase in both size and complexity, making fault simulation and testing more difficult and costly. Computer aided design tools and hardware description languages are now commonly used to represent designs at higher levels of abstraction. However, fault simulation and testing of digital circuits have been historically done using fault models at the gate level or below. A design methodology is needed for performing fault simulation throughout the design process, incorporating fault models at higher levels of abstraction. Use of these higher level fault models has the promise of reducing complexity, providing earlier identification of potential problems, and improving integration of fault simulation into the overall design process. Previous behavioral fault models lack a well defined link to the hardware which they attempt to describe. Though some relationships to possible hardware faults are proposed, there is no detailed analysis to justify these assertions. Approaches based on perturbing language constructs, such as ADD to SUB, do not accurately reflect underlying hardware faults. In order to compensate for this "big micro-operation problem," alternate methods such as heuristics are used to supplement test vector sets to increase the equivalent gate level fault coverage. This dissertation proposes a new set of fault models for VHDL behavioral descriptions of combinational logic circuits. These fault models exploit hardware relationships that exist in a design environment which involves synthesis of behavioral descriptions into gate level circuits. A functional analysis technique is used to evaluate the effects of industry standard single-stuck-line (SSL) faults on gate level implementations. The generalized functional faults are then abstracted into the behavioral domain by examining their relationship with the higher level language construct. Test vectors derived from the new behavioral fault models are applied to synthesized gate level realizations of a range of circuits that include typical arithmetic and logic functions. Resulting gate level fault coverage is determined via fault simulation and used as a measure of effectiveness for the new fault models. Because the behavioral faults are derived from a functional analysis of low level faults, they provide improved fault coverage over previous fault models, over a broad range of implementations.
Acknowledgments I would like to thank the United States Army for sponsoring my continuing education. My advisor, Dr. Barry W. Johnson, deserves a special thanks for his encouragement and support. His technical leadership helped shape many of the ideas embodied in this dissertation. Along with Dr. Johnson, I would also like to thank the other members of my advisory committee, Dr. Joanne Bechta Dugan, Dr. John C. Knight, Dr. Stephen G. Wilson, and Dr. Mircea R. Stan. Their guidance and feedback was invaluable throughout my research. My gratitude is extended to Dr. D. Todd Smith and Todd A. DeLong for serving as a sounding board and sanity check for many of my ideas. Thanks also to the United States Air Force Rome Laboratory, specifically Dr. Warren H. Debany Jr. and James R Hanna, for additional comments and perspectives. The interactions of Dr. Robert H. Klenke, Dr. Lori M. Kaufman, Dr. James H. Aylor, and Dr. Ronald D. Williams are also greatly appreciated. I owe a debt of gratitude to the many individuals who have helped me throughout my program. A special thanks is extended to the following individuals: Maximo Salinas, Carl Elks, Dave Garrett, Michael Reynolds, Ryan Baucom, Wes Dungan, and Erik Laurila. Thanks to the EE computer system administrators: Melissa Thrush and Diane Calleson. Thanks also to the secretaries: Lisa Sites, Peggy McCauley, Susan Malone, and Robbie Burton. My family has provided endless love and support. The biggest thanks to my wife, Marva, for always being there for me. Her encouragement and endless optimism helped me deal with the ups and downs of the past few years. Thanks also to my sons, James and Kyle, for trying to understand all the hours spent in the conquest of this goal. My brother, Tomas, has also been a big source of encouragement. Our weekly outings to the gym helped relieve the stress inherent in such an endeavor. Finally, thanks to my parents, Jim and Audrey, for everything. They brought me up to believe that I could achieve anything I put my mind to.
Biography Ronald J. Hayne is a Lieutenant Colonel in the U.S. Army with over 18 years of service in a variety of command and staff positions. He received his B.S. in 1980 from the United Stated Military Academy at West Point and was stationed with the 25th Infantry Division in Hawaii. He received his M.S. in Electrical Engineering in 1987 from the University of Arizona, followed by a teaching assignment with the Department of Electrical Engineering and Computer Science at West Point. LTC Hayne is now a member of the Army Acquisition Corps, responsible for the research and development of new military systems, and has served with the Space and Strategic Defense Command and the Army Research Laboratory. His world travels in the military have taken him to the Republic of Korea, the Republic of the Philippines, Vienna Austria, and Kwajalein Atoll in the Republic of the Marshall Islands.
Publications Hayne, R.J. and B.W. Johnson, "Behavioral Fault Modeling in a VHDL Synthesis Environment," Proceedings VLSI Test Symposium, April 1999. Kaufman, L.M., R. Gretlein, and R.J. Hayne, "A Quantitative Assessment of the Application of Software Reliability to Reusable Code," Proceedings Reliability and Maintainability Symposium, January 1999. Hayne, R.J., P A. Brown, S.G. Hair and J.E. Oristian, "An Innovative Educational Application of the VHSIC Hardware Description Language," Proceedings Frontiers in Education Conference, July 1990.
Table of Contents Table of Contents
i
List of Figures
vii
List of Tables
xii
List of Acronyms and Abbreviations
xvi
Chapter 1: Introduction 1.1 Previous Research 1.2 Behavioral Modeling 1.2.1 VHDL Subset 1.2.2 Hardware Implementation of VHDL Constructs 1.3 Functional Analysis 1.4 Fault Injection Using WAVES 1.5 Comprehensive Examples 1.6 Contributions and Future Work
1 2 4 4 6 7 8 8 9
Chapter 2: Previous Research 2.1 Fault Models 2.1.1 Functional Faults 2.1.2 Physically-Induced Faults 2.1.3 Behavior Faults 2.1.4 Model Perturbation 2.1.5 Other Research 2.1.6 Summary 2.2 Fault Injection Techniques 2.2.1 Instruction Set Architecture 2.2.2 MEFISTO 2.2.3 Hybrid Fault Emulation 2.2.4 Summary 2.3 Test Generation Techniques 2.3.1 S-Algorithm 2.3.2 B-Algorithm 2.3.3 Other Research 2.4 Conclusions
10 10 11 13 15 16 18 22 22 22 23 23 24 24 25 25 26 28
Chapter 3: A New Control Fault Model 3.1 IF-THEN-ELSE 3.1.1 Synthesis of a Simple Example 3.1.2 Functional Analysis 3.1.3 Alternate Implementation 3.1.4 Generalized Functional Fault Model
30 30 30 31 33 35
3.1.5 3.1.6 3.1.7 3.1.8
3.2
3.3
Development of a Behavioral Fault Model Evaluation of the New Behavioral Fault Model Comparison with Previous Behavioral Fault Models Expansion of the Fault Model 3.1.8.1 Functional Analysis 3.1.8.2 Generalized Functional Fault Model 3.1.8.3 Behavioral Fault Model 3.1.8.4 Evaluation of the Behavioral Fault Model Summary
3.1.9 CASE 3.2.1 Application of the Control Fault Model 3.2.2 Evaluation of the Fault Model 3.2.3 Comparison with Previous Behavioral Fault Models Conclusions
35 37 38 40 41 44 45 46 47 48 49 51 53 55
Chapter 4: Relational Operators 4.1 Greater Than (GT) 4.1.1 Generalized Functional Faults 4.1.2 Classification of Functional Faults 4.1.3 Behavioral Fault Model 4.1.4 Adapting the Model for GE, LT, and LE 4.1.5 Summary 4.2 Threshold Detection 4.2.1 Greater Than Signed Threshold 4.2.2 A Quick Example 4.3 Equal (EQ) '. 4.3.1 Functional Faults 4.3.2 Behavioral Fault Model 4.4 Comparison with Previous Fault Models 4.5 Application of the New Fault Models 4.5.1 Faults on Relational Operators 4.5.2 Control Faults 4.5.3 Final Behavioral Test Vector Set 4.6 Evaluation of Behavioral Test Vectors 4.6.1 Gate Level Realizations 4.6.2 Expansion of the Data Path 4.6.3 Expansion of the Control Signals 4.7 Conclusions
56 56 57 58 60 62 63 63 63 64 66 66 67 68 69 69 71 73 74 75 77 79 80
Chapter 5: Arithmetic Operators 5.1 Addition 5.1.1 Ripple Carry Adder 5.1.1.1 Functional Testing 5.1.1.2 Scalability 5.1.1.3 Behavioral Fault Model
82 82 83 84 86 86
Ill
5.1.1.4 Evaluation of the Behavioral Test Vectors 5.1.1.5 Carry-in and Carry-out 5.1.2 Carry Look-Ahead Adder 5.1.2.1 Functional Testing 5.1.2.2 Application of the Behavioral Test Vectors 5.1.2.3 Scalability 5.1.2.4 Optimization of CLA Behavioral Faults 5.1.3 Summary Subtraction 5.2.1 Direct Subtraction 5.2.1.1 Functional Testing 5.2.1.2 Application of the Behavioral Test Vectors 5.2.2 Subtraction Using Addition Circuitry 5.2.3 Summary Constants as Operands 5.3.1 Functional Testing 5.3.2 Generalized Behavioral Fault Model Comparison with Previous Fault Models Conclusions
88 89 91 92 95 95 99 100 101 101 101 102 103 104 104 105 105 106 107
Chapter 6: Other Operators 6.1 Logical Operators 6.1.1 AND/OR 6.1.1.1 Functional Faults 6.1.1.2 Complex Expressions..... 6.1.1.3 Scalability 6.1.1.4 Behavioral Fault Model 6.1.1.5 Application of the New Fault Models 6.1.2 XOR 6.1.2.1 Functional Faults 6.1.2.2 Optimized Test Generation 6.1.2.3 Evaluation of the Generalized Test Vectors 6.1.3 Comparison with Previous Fault Models 6.2 Unary Operators 6.2.1 Absolute Value 6.2.2 Negation 6.2.3 Generalized Functional Faults 6.2.4 Behavioral Fault Model 6.2.5 Evaluation of Behavioral Test Vectors 6.3 Conclusions
109 109 110 110 Ill 113 113 114 118 118 119 122 123 124 124 124 125 126 126 128
Chapter 7: Other Programming Constructs 7.1 Loops 7.1.1 A Simple Example 7.1.2 Comparison with Previous Fault Models
129 129 129 134
5.2
5.3
5.4 5.5
IV
7.2
7.3
Functions and Procedures 7.2.1 Example ADD4fn 7.2.2 Example ADD4pr Conclusions
134 135 136 137
Chapter 8: Comprehensive Examples 8.1 Arithmetic Logic Unit 8.1.1 Example ALU4wc 8.1.1.1 Faults on Logical Operators 8.1.1.2 Faults on Arithmetic Operators 8.1.1.3 Control Faults 8.1.1.4 Final Behavioral Test Vector Set 8.1.2 Evaluation of the Behavioral Test Vectors 8.1.3 Expansion of the Data Path 8.1.4 Summary 8.2 Error Correcting Circuit 8.2.1 Example HAMMING4 8.2.1.1 Faults on XOR-only Expressions 8.2.1.2 Faults on Other Logical Expressions 8.2.1.3 Final Behavioral Test Vector Set 8.2.2 Evaluation of the Behavioral Test Vectors 8.2.3 Expansion of the Data Path 8.2.4 Summary 8.3 Conclusions
138 138 138 141 142 144 149 152 154 155 156 156 156 159 162 163 163 166 166
Chapter 9: Conclusions and Future Work 9.1 Research Contributions 9.1.1. Generalized Functional Faults 9.1.2 New Behavioral Fault Models 9.1.3 Gate Level Fault Coverage of Behavioral Test Vectors 9.1.4 Behavioral Test Generation 9.1.5 Behavioral Fault Simulation 9.2 Future Work 9.2.1 Expansion of Behavioral Fault Models 9.2.2 Tool Development 9.2.3 Higher Levels of Abstraction 9.3 Concluding Remarks
167 167 167 168 168 169 169 170 170 171 171 172
References Appendix A: Additional Examples A.l Array Indexing A.2 Generalization of the Control Fault Model A.3 Signed Comparison A.4 Unsigned Threshold
173 180 180 183 .......185 188
A.5
Adder/Subtractor A.5.1 Faults on Arithmetic Operators A.5.2 Control Faults A.5.3 Evaluation of the Behavioral Test Vectors A.5.4 CLA Implementation A.6 Arithmetic with Constants A.6.1 Example PLUS25 A.6.2 Example MINUS25 A.7 XOR4 A.7.1 Parse Tree Test Vectors A.7.2 Evaluation of Behavioral Test Vectors A.7.3 Optimized Test Vectors A.7.4 Evaluation of Optimized Test Vectors
189 190 190 191 191 193 194 195 195 196 197 198 199
Appendix B: Fault Experiment Results
200
Appendix C: VHDL Behavioral Descriptions C.l Variables and Signals C.2 Expressions C.3 If Statement C.4 Case Statement C.5 Loop Statements C.6 Process Statement C.7 Procedures and Functions
205 205 206 206 207 208 209 210
Appendix D: VHDL Synthesis D.l Level-0 D.2 Mentor Graphics D.3 Synopsys D.4 D3EE Draft Standard
211 211 213 214 216
Appendix E: Hardware Implementation of VHDL Constructs E.l Structured Logic Design E.2 Mentor Graphics
218 218 224
Appendix F: VHDL Source Code F.1 CASE1 F.2 ARRAY4 F.3 SHIFT4U F.4 LESS2 F.5 EQUAL3 F.6 GREATER3 F.7 LE5 : F.8 GE23u F.9 LT12u F.10 GT3n
228 229 230 231 232 233 234 236 237 238 239
VI
F.ll F.12 F.13 F.14 F.15 F.16 F.17 F.18 F.19 F.20 F.21 F.22 F.23 F.24 F.25 F.26 F.27 F.28 F.29 F.30 F.31 F.32 F.33 F.34 F.35 F.36 F.37 F.38 F.39 F.40 F.41
COMPARE COMPARE3 COMPARE4 COMPARE34 ADD4 ADD4wc ADD8 SUB4 ADDSUB4 INC4 INC8 DEC4 ADDINC4 PLUS3 MINUS5 PLUS25 MINUS25 SOP1 SOP4 POS1 GT XOR4 XOR5 ABS4 ABS8 NEG4 NEG8 ALU4wc ALU8wc HAMMING4 HAMMING8
240 242 244 245 246 248 250 252 254 256 257 258 259 260 261 262 263 264 265 266 267 268 270 272 273 274 275 276 278 280 282
List of Figures Chapter 1 Figure 1-1
Design guidelines
5
Chapter 2 Figure 2-1
Three-input majority circuit M
14
Behavioral description for example IF1 Synthesized hardware for example IF1 SOP gate level circuit for MUX21 Karnaugh map for MUX POS gate level MUX Control fault model for if-then-else Behavioral description for example IF2 Synthesized hardware for example IF2 Gate level circuit for example IF2 Control fault model for expanded if-then-else Equivalent if-then-else and case statements Logical adjacencies among clauses WHEN-CORRUPT (AND) faults for example CASE1 Synthesized Structurel for example CASE1 WAVES test vectors for example CASE 1 Synthesized Structure2 for example CASE1 Fault coverage for Structure2 of example CASE1
30 31 31 33 34 37 40 41 41 45 49 50 50 52 52 53 53
Karnaugh map for 2-bit GT function Fault classes for 2-bit GT function Fault classes for 3-bit GT function Fault classes for 2-bit GE function Functional test vectors for signed GT threshold Behavioral description for example LE5 Behavioral test vectors for example LE5 Synthesized circuit for example LE5 Fault classes for 3-bit EQ function Behavioral description for example COMPARE Synthesized Structurel for example COMPARE Alternate set of behavioral test vectors for example COMPARE Synthesized Structure2 for example COMPARE
57 59 60 62 64 65 65 65 66 69 75 76 76
Chapter 3 Figure 3-1 Figure 3-2 Figure 3-3 Figure 3-4 Figure 3-5 Figure 3-6 Figure 3-7 Figure 3-8 Figure 3-9 Figure 3-10 Figure 3-11 Figure 3-12 Figure 3-13 Figure 3-14 Figure 3-15 Figure 3-16 Figure 3-17 Chapter 4 Figure 4-1 Figure 4-2 Figure 4-3 Figure 4-4 Figure 4-5 Figure 4-6 Figure 4-7 Figure 4-8 Figure 4-9 Figure 4-10 Figure 4-11 Figure 4-12 Figure 4-13
Vll
VIII
Figure 4-14 Figure 4-15 Figure 4-16 Figure 4-17 Figure 4-18
Fault coverage for Structure2 of example COMPARE Behavioral test vectors for example COMPARE4 Synthesized Structure for example COMPARE4 Behavioral test vectors for example COMPARE3 Synthesized Structure for example COMPARE3
77 78 78 79 80
Chapter 5 Figure 5-1 Figure 5-2 Figure 5-3 Figure 5-4 Figure 5-5 Figure 5-6 Figure 5-7 Figure 5-8 Figure 5-9 Figure 5-10 Figure 5-11 Figure 5-12 Figure 5-13 Figure 5-14 Figure 5-15
Ripple carry adder Behavioral fault model for ripple carry adder Behavioral description for example ADD4 Synthesized Circuit for example ADD4 NOR-only realization of example ADD4 Behavioral description for example ADD4wc Carry look-ahead adder CLA implementation of example ADD4 Ripple carry implementation of example ADD8 Fault coverage for ripple carry ADD8 Block diagram of modular CLA adder Fault coverage for modular CLA adder Behavioral description for example SUB4 Synthesized circuit for example SUB4 Subtractor implemented with full adders
83 87 88 88 89 90 92 95 96 96 98 99 102 103 103
Behavioral description for example SOP1 Binary tree representing example SOP1 WAVES test vectors for example SOP4 Behavioral fault model for example SOP1 Behavioral description for example GT Parse tree for example GT Synthesized Structurel for example GT Synthesized Structure2 for example GT Fault coverage for Structure2 of example GT Labeling scheme for Bossen test Structure Cascadel for example XOR5 Structure Cascade2 for example XOR5 Modified Bossen test for Cascade2 Generalized Bossen test vectors for example XOR5 Structure4 for example XOR5 Fault coverage for Structure4 of example XOR5 Behavioral fault model for ABS WAVES test vectors for example ABS8 Synthesized Structurel of example ABS8 Fault coverage for Structurel of example ABS8
Ill Ill 113 114 114 115 117 117 118 119 120 120 121 122 122 123 126 126 127 127
Chapter 6 Figure 6-1 Figure 6-2 Figure 6-3 Figure 6-4 Figure 6-5 Figure 6-6 Figure 6-7 Figure 6-8 Figure 6-9 Figure 6-10 Figure 6-11 Figure 6-12 Figure 6-13 Figure 6-14 Figure 6-15 Figure 6-16 Figure 6-17 Figure 6-18 Figure 6-19 Figure 6-20
IX
Figure 6-21
Synthesized circuit for example NEG8.....
128
Behavioral description for example SHIFT4u Expanded case statement for example SHIFT4u WAVES test vectors for example SfflFT4u Synthesized Structurel for example SHTFT4u Fault coverage for Structurel of example SHib'l4u Behavioral description for example ADD4fn Functions for example ADD4fn Expanded behavioral description for example ADD4fn Behavioral description for example ADD4pr Procedure FA for example ADD4pr
129 130 133 133 134 135 135 136 136 137
Entity description for example ALU4wc Architecture description for example ALU4wc Logical adjacencies among clauses WAVES test vectors for example ALU4wc Synthesized Structurel for example ALU4wc Fault coverage for Structurel of example ALU4wc WAVES test vectors for example ALU8wc Entity description for example HAMMING4 Architecture description for example HAMMING4 Structure Cascadel for 4-input XOR-only expression Structure Cascade2 for 4-input XOR-only expression Parse tree for expression D(l) WAVES test vectors for example HAMMING4 Synthesized Structurel for example HAMMING4 Entity description for example HAMMING8 Architecture description for example HAMMING8 WAVES test vectors for example HAMMING8
138 139 147 151 153 153 154 156 157 157 157 159 162 163 164 164 165
Behavioral description for example ARRAY4 Equivalent case statement for example ARRAY4 Logical adjacencies among clauses WAVES test vectors for example ARRAY4 Synthesized circuit for example ARRAY4 Behavioral description for example CASE2 Behavioral description for example GREATER3
180 180 181 182 182 183 185
Chapter 7 Figure 7-1 Figure 7-2 Figure 7-3 Figure 7-4 Figure 7-5 Figure 7-6 Figure 7-7 Figure 7-8 Figure 7-9 Figure 7-10 Chapter 8 Figure 8-1 Figure 8-2 Figure 8-3 Figure 8-4 Figure 8-5 Figure 8-6 Figure 8-7 Figure 8-8 Figure 8-9 Figure 8-10 Figure 8-11 Figure 8-12 Figure 8-13 Figure 8-14 Figure 8-15 Figure 8-16 Figure 8-17 Chapter 9 Appendix A Figure A-l Figure A-2 Figure A-3 Figure A-4 Figure A-5 Figure A-6 Figure A-7
Figure A-8 Figure A-9 Figure A-10 Figure A-ll Figure A-12 Figure A-13 Figure A-14 Figure A-15 Figure A-16 Figure A-17 Figure A-18 Figure A-19 Figure A-20 Figure A-21 Figure A-22 Figure A-23 Figure A-24 Figure A-25 Figure A-26 Figure A-27 Figure A-28 Figure A-29 Figure A-30
Fault classes for 3-bit signed GT function WAVES test vectors for example GREATER3 Synthesized Structurel for example GREATER3 Synthesized Structure3 for example GREATER3 Behavioral description for example GE23u Behavioral test vectors for example GE23u WAVES test vectors for example GE23u Synthesized circuit for example GE23u Behavioral description for example ADDSUB4 WAVES test vectors for example ADDSUB4 Synthesized circuit for example ADDSUB4 CLA test vectors for example ADDSUB4 CLA implementation of example ADDSUB4 Behavioral test vectors for example PLUS25 Synthesized Structurel for example PLUS25 Parse tree for example XOR4 WAVES test vectors for example XOR4 Structurel for example XOR4 Structure2 for example XOR4 Structure3 for example XOR4 Structure4 for example XOR4 Structure Cascade 1 for example XOR4 Structure Cascade2 for example XOR4
186 186 187 188 188 189 189 189 189 190 191 193 193 194 194 196 197 197 198 198 198 199 199
Process types for Level-0 Design restrictions for Synopsys
213 215
VHDL constructs that map to multiplexer elements Hardware implementation for case statement Hardware implementation for if statement Hardware implementation for vector indexing VHDL description for a ripple carry adder Hardware implementation of ripple carry adder Using functions to represent combinational logic Code example for simple //"statement Code example for if-else statement Synthesized hardware for if-else statement Code example for case statement
219 220 221 221 222 222 223 224 225 225 226
Appendix B Appendix C Appendix D Figure D-l Figure D-2 Appendix E Figure E-l Figure E-2 Figure E-3 Figure E-4 Figure E-5 Figure E-6 Figure E-7 Figure E-8 Figure E-9 Figure E-10 Figure E-l 1
XI
Figure E-12 Figure E-13 Appendix F
Synthesized hardware for case statement Code example for variable index assignment
226 227
List of Tables Chapter 1 Table 1-1 Table 1-2
Levels of detail commonly used in design Predefined VHDL operators
2 5
Chapter 2 Table 2-1 Table 2-2
Minimal SEF test set Micro-operation Faults
14 18
SSL fault table for SOP MUX Fault reductions Reduced fault table for SOP MUX Reduced fault table for POS MUX Generalized functional fault model SSL faults detected by behavioral test vectors Stuck-data and stuck-control behavioral faults Faults detected by test vector Set 1 Fault reductions for example IF2 Covering faults for external control line faults Reduced functional faults for example IF2 Generalized functional faults for example IF2 Behavioral test vectors for example DF2 Behavioral test vectors for example CASE1
32 32 33 34 35 38 39 39 42 42 43 44 47 51
Reduced functional faults for SOP GT Reduced functional faults for POS GT Functional faults for n-bit GT Behavioral faults for 2-bit GT Functional faults for n-bit GE Behavioral faults for 2-bit GE Functional faults for n-bit EQ Behavioral faults for 2-bit EQ Micro-operation Faults Test vectors for behavioral faults for A > B Test vectors for behavioral faults for A < B Test vectors for THEN-CORRUPT faults Test vectors for ELSIF-CORRUPT and ELSE-CORRUPT faults Final behavioral test vector set for example COMPARE
57 58 60 61 62 63 67 67 68 70 71 72 73 74
Chapter 3 Table 3-1 Table 3-2 Table 3-3 Table 3-4 Table 3-5 Table 3-6 Table 3-7 Table 3-8 Table 3-9 Table 3-10 Table 3-11 Table 3-12 Table 3-13 Table 3-14 Chapter 4 Table 4-1 Table 4-2 Table 4-3 Table 4-4 Table 4-5 Table 4-6 Table 4-7 Table 4-8 Table 4-9 Table 4-10 Table 4-11 Table 4-12 Table 4-13 Table 4-14
Xll
Xlll
Chapter 5 Table 5-1 Table 5-2 Table 5-3 Table 5-4 Table 5-5 Table 5-6 Table 5-7 Table 5-8 Table 5-9 Table 5-10 Table 5-11 Table 5-12 Table 5-13 Table 5-14 Table 5-15 Table 5-16 Table 5-17 Table 5-18 Table 5-19 Table 5-20
Truth table for full adder Phase I functional tests Phase II functional tests Phase III functional tests Functional tests for 4-bit ripple carry adder Functional tests for example ADD4wc Carries for 4-bit CLA adder Missing carry faults Extra carry faults Additional behavioral test vectors for CLA adder Behavioral test vectors for extra carry faults Behavioral test vectors for missing carry faults Optimized test vectors for missing carry faults Optimized test vectors for extra carry faults Truth table for full subtractor Functional tests for 4-bit direct subtraction Functional tests for adder by subtraction test vectors Functional tests for 4-bit increment function Functional tests for 6-bit function Z OZ YIO SELO Figure 3-2 Synthesized hardware for example IF1. The synthesized hardware implementing example IF1 has the two inputs, YO and Yl, connected to inputs A and B respectively of a 2-to-l multiplexer, MUX21. In subsequent discussions, these will be referred to as the channels of the multiplexer, Channel A (CHA) and Channel B(CHB).
3.1.2 Functional Analysis In order to perform a functional analysis similar to the method used by Hansen and Hayes [29] in their work on physically-induced faults, a gate level design of the multiplexer architecture is needed. To make the resulting models independent of any specific implementation, several different gate level realizations will be examined and compared. The first gate level multiplexer was obtained by expanding the functional element MUX21 one level lower in the design hierarchy. The resulting gate level circuit is recognized as a sum-of-products (SOP) implementation shown in Figure 3-3. YOO
YIO SEL Figure 3-3 SOP gate level circuit for MUX21. To analyze the gate level circuit, the effect of single-stuck-line (SSL) gate level faults will be examined. This analysis will determine a set of functional faults which are induced by the lower level SSL faults. Testing for these functional faults will then ensure complete testing for the original gate level faults. The gate level circuit contains 10 logical lines. Applying the SSL fault model where each line can be either stuck-at-0 or stuck-at-1, there are a total of 20 gate level SSL faults
32
in the circuit. The line labeled A being stuck-at-0 and stuck-at-1 will be indicated as A-0 and A-i respectively. By activating each SSL fault individually in the gate level circuit and evaluating the resulting output response to changing inputs, a fault table is obtained. In Table 3-1 the fault free behavior of the circuit is shown in column Z. For simplicity, only outputs due to a SSL fault that differ from the fault free behavior of the circuit are shown.
%
o1 1 o1 1 o1 N < < PQ ffl u
1—1
co
1
u
o
1
1
Q Q
o
o
i
1
i
W
o i
Ü
o 1 o Ü X X CO I
0
0
0
0
0
1
1
0
1
0
0
0
1
1
1
1
0
0
0
1
1
0
1
0
1
1
1
0
1
0
1
1
1
1
0
1
1
1
1
1 0
1
1
1
1 1
0
1
1
0
0
0
0
1
1—1
N N 1
0
0
0
0
i
CO
1
1
1
0
©
i
1
1 0
0
0
0
0
0
0
1 0
1 0 0
Table 3-1 SSL fault table for SOP MUX. Faults which cause the same faulty output can be considered functionally equivalent [45]. Such faults can be combined in the fault table, since there is no way to distinguish between these faults by observing the circuit's output behavior. To further reduce the functional faults, consider the concept of dominance of one fault over another. The fault (column) Fl is said to dominate the fault (column) F2 if Fl has a faulty output in at least every row in which F2 has a faulty output [45]. The dominating fault (column) Fl may be removed from the fault table, since any test which detects fault F2 will also detect fault Fl. Fault reductions due to functional equivalence and dominance are shown in Table 3-2. Faults
Remarks
Faults
Remarks
A-1,E-0,H-1,S-1,Z-1
Dominate G-l
C-0, D-0
Equivalent to A-0
B-l,D-l,E-l,S-0
Dominate C-l
F-0
Equivalent to G-l
Z-0
Dominate B-0
F-l, G-0, H-0
Equivalent to B-0
Table 3-2 Fault reductions.
33
Only four functional faults remain after applying the indicated fault reductions. Testing for this reduced set of faults will ensure complete coverage of all 20 original SSL gate level faults. The reduced fault table and appropriate test vectors are shown in Table 3-3. The two test vectors labeled mandatory are the only ones that cover a specific fault. For the other faults two options are available; selecting one test vector from each group will provide coverage for the faults in question. Test Vector
I—I
o
o
1
1
o 1
N < Ü PQ
00
>*
0
0
0
0
Option 1
0
0
1
1
Mandatory
0
1
0
0
Option 1
0
1
1
1
1
0
0
0
Mandatory
1
0
1
0
Option 2
1
1
0
1
0
Option 2
1
1
1
1
0
t
u
0 1 0
1
Table 3-3 Reduced fault table for SOP MUX.
3.1.3 Alternate Implementation In order to investigate an alternate gate level implementation of the multiplexer architecture, consider the Karnaugh map for the output function Z, shown in Figure 3-4. The groupings of minterms (l's), indicated by the dashed lines, produce an SOP representation consistent with the gate level circuit previously analyzed from Figure 3-3. \SELY1 Y0\ 00 0 (• 1 V
01
0
ii
10
u
tt (*) i i i i i
Figure 3-4 Karnaugh map for MUX.
34'
To obtain a product-of-sums (POS) implementation of the multiplexer, the maxterms (O's) are grouped, as indicated with the solid lines. The resulting function for the output is: Z = (SEL + YO) ■ (SEL +Y1). A gate level realization is shown in Figure 3-5. YOO
-A
Y1D— SELO-S-
B\
D
CHA
CHB ,GJ
Figure 3-5 POS gate level MUX. A functional analysis can now be performed on the SSL gate level faults for this circuit. After appropriate reductions for functional equivalence and fault dominance, the resulting fault table is shown in Table 3-4. Test Vector
1—I 1
o
£
N < Pi
I
1
Option 1
0
0
0
0
Mandatory
0
0
1
1
Option 1
0
1
0
0
0
1
1
1
Option 2
1
0
0
0
1
Option 2
1
0
1
0
1
Mandatory
1
1
0
1
1
1
1
1
o 1
u
1 0 1
0
Table 3-4 Reduced fault table for POS MUX. It should be noted here that a NAND-NAND realization of the SOP circuit and a NOR-NOR realization of the POS circuit produce the same reduced fault tables shown in Table 3-3 and Table 3-4, respectively. The reduced set of functional faults only affect the controlling inputs to the multiplexer channels, which remain unchanged due to conversions to NAND-only or NOR-only circuits using DeMorgan's theorem [41].
35
3.1.4 Generalized Functional Fault Model Comparison of the reduced fault tables for both the SOP and POS implementations of the multiplexer can now yield a generalized functional fault model, not tied to a specific realization. There are no contradictions among the test vectors indicated in the two fault tables. Selection of the mandatory test vectors from each table provides complete coverage of the functional faults from both tables. A generalized functional fault model is, therefore, presented in Table 3-5. The functional faults have been renamed SOP_A, SOP_B, POS_A, and POS_B to indicate the origin of their mandatory test vector and the channel of the multiplexer which they corrupt. Testing based on the indicated vectors should provide complete coverage of gate level SSL faults for multiple multiplexer implementations.
Test Vector
" operator can fault to "=" (Class I) or "—' f-P~p
a(1:0)O
H> gOOglO-
Figure 4-11 Synthesized Structural for example COMPARE. According to MIL-STD 883D, Structurel contains 74 unique gate level SSL faults. Fault simulations were performed using the behavioral test vectors from Table 4-14. The behavioral test vector set detected 73 of the 74 SSL gate level faults, resulting in a fault coverage of 98.65%. The undetected fault was on input B to NAND gate zg3 shown in Figure 4-11. Exhaustive testing of the circuit Structurel reveals that this fault is, in fact, undetectable by any test vector due to redundant logic produced by the synthesis tool. In this case, an alternate measure of effectiveness can also be used to account for redundant logic. The fault efficiency is defined as the ratio of detected faults to detectable faults. For this example the fault efficiency is 73/73 = 100%. An alternate test vector set was formed by reversing the order of the Z = 0, Z = 1 pattern in Table 4-14. Application of this alternate set of behavioral test vectors, shown in Figure 4-12, to the circuit Structurel produced an identical fault coverage of 98.65%. Either set of test vectors developed from the behavioral fault models, therefore, achieved a fault efficiency of 100%.
76
% AB Y2 Yl YO Z % Group I 500 0000 010 1 500 0101 101 0 500 1010 010 1 1111 101 0 500 Group II 500 0100 011 0 500 1001 100 1 500 1001 011 0 500 1110 100 1 % Group III 500 0001 110 0 1 500 0110 001 500 0110 110 0 1 500 1011 001
time ns ns ns ns ns ns ns ns ns ns ns ns
Figure 4-12 Alternate set of behavioral test vectors for example COMPARE. A second gate level implementation of example COMPARE was produced by allowing AutoLogic II to perform logic optimizations. Using these optimizations in a synthesis environment allows a designer to remove redundancies and reduce the number of undetectable faults. The resulting Structure2 is shown in Figure 4-13.
UlO
Figure 4-13 Synthesized Structure2 for example COMPARE. Structure2 contains 72 unique SSL gate level faults. Fault simulations using the original and alternate behavioral test vectors from Table 4-14 and Figure 4-12 both result in a fault coverage of 72/72 = 100%. The fault coverage as a function of the alternate behavioral test vectors is shown in Figure 4-14. When redundancies are not present in the gate level circuit, the test vector sets developed using the behavioral fault models achieve a gate level SSL fault coverage of 100%.
77
Coverage (%) 100
-,
i—'—r 1
2
~i—■—r 6
7
10
Number of Test Vectors
Figure 4-14 Fault coverage for Structure2 of example COMPARE. These results help validate the new behavioral fault models through practical application. The effects on fault coverage due to expansion of the control signals and data path will now be investigated.
4.6.2 Expansion of the Data Path In the VHDL behavioral description for example COMPARE, the input signals (Y2, Yl, Y0) and the output signal (Z) are each only a single bit wide. To demonstrate the effects of a wider data path on the new behavioral fault models, example COMPARE4 was created by changing the above signals to four bits wide, BIT_VECTOR(3 downto 0). For this example, the control signals A and B remained two bits each. The faults on the relational operators are unchanged due to the widening of the data path. Hence, the values of the control signals A and B are the same as those given in Table 4-14. The only change to the test vectors is the widening of the 1-bit signals to four bits. This is done by replication of the appropriate signal values, since the wider data path simply represents multiple copies of the 1-bit case implemented in parallel. The control faults on adjacent clauses developed in Section 4.5.2 are, likewise, unaffected by the widening of the data path. The only modifications necessary to the test vec-
78
tors is again replication of the appropriate signal values. Hence, the behavioral test vectors for example COMPARE4 are presented in Figure 4-15.
% AB Y2 Yl % Group I 0000 1111 0000 0101 0000 1111 1010 1111 0000 1111 0000 1111 % Group II 0100 1111 0000 1001 0000 1111 1001 1111 0000 1110 0000 1111 % Group III 0001 0000 0000 0110 1111 1111 0110 0000 0000
time
Y0 1111 0000 1111 0000
0000 1111 0000 1111
500 500 500 500
ns ns ns ns
0000 1111 0000 1111
1111 0000 1111 0000
500 500 500 500
ns ns ns ns
1111 0000 1111 1011 1111 1111 0000
1111 0000 1111 0000
500 500 500 500
ns ns ns ns
Figure 4-15 Behavioral test vectors for example COMPARE4. Example COMPARE4 was synthesized and optimized with AutoLogic II to produce the gate level Structure shown in Figure 4-16. Fault simulations were performed using the test vectors derived from the behavioral fault models. The results show a fault coverage of 150/150 = 100%; all SSL gate level faults are detected.
H> Figure 4-16 Synthesized Structure for example COMPARE4.
79
As was done previously, an alternate test vector set was formed for example COMPARE4 by reversing the order of the Z = 0, Z = 1 pattern in Figure 4-15. Fault simulation using these alternate behavioral test vectors again resulted in gate level fault coverage of 100%. Though the number of unique gate level faults more than doubled, the same number of test vectors, 12, were able to provide complete SSL fault coverage.
4.6.3 Expansion of the Control Signals Example COMPARE was next modified by increasing the width of the control signals A and B from two bits to three bits each. The change in size of the control signals does affect the behavioral faults for the relational operators. Recall the number of faults on a comparison is related to the number of bits being compared. The increase from two bits to three bits causes the number of Group I faults from Table 4-14 to increase from 22 = 4 to 23 = 8. The number of Group II and Group III faults likewise increases to 23 -1 = 7. In contrast to the faults on relational operators, the control faults are affected only by adjacency among clauses. Recall from Table 4-13 that the ELSIF-CORRUPT (by THEN) faults corresponded to the A > B Class II faults. In the 2-bit case, only a single combination of A and B produced the proper adjacency, forcing the selection of both test vector options to cover both the Clause-CORRUPT (OR) and Clause-CORRUPT (AND)i'faults. In the 3 bit case, there are now 23"1 -1 = 3 different combinations of A and B that produce the proper adjacency between clauses (see Figure 4-3). Through proper selection of test vectors from the faults on relational operators, it is possible to provide coverage for all control faults without replication of values for A and B. A final set of behavioral test vectors for example COMPARE3 is, hence, presented in Figure 4-17. % A B Y2Y1Y0 Z % Group I 000 000 101 0 001 001 101 0 010 010 010 1 011 011 010 1 100 100 101 0 101 101 101 0 110 110 010 1 111 111 010 1
time ; 500 500 500 500 500 500 500 500
ns ns ns ns ns ns ns ns
Figure 4-17 Behavioral test vectors for example COMPARE3.
80
% Group 001 000 010 001 Oil 010 100 Oil 101 100 110 101 111 110 % Group 000 001 001 010 010 Oil 011 100 100 101 101 110 110 111
II Oil Oil 100 100 Oil Oil 100 III 110 110 001 001 110 110 001
0 0 1 1 0 0 1
500 500 500 500 500 500 500
ns ns ns ns ns ns ns
0 0 1 1 0 0 1
500 500 500 500 500 500 500
ns ns ns ns ns ns ns
Figure 4-17 Behavioral test vectors for example COMPARE3. Example COMPARE3 was then synthesized and optimized by AutoLogic II to produce the gate level implementation shown in Figure 4-18.
Figure 4-18 Synthesized Structure for example COMPARE3. Fault simulations were conducted on the gate level Structure for example COMPARE3 using the derived set of behavioral test vectors. A SSL gate level fault coverage of 92/92 = 100% was achieved. As in previous examples, fault simulation with an alternate set of behavioral test vectors again resulted in complete gate level fault coverage.
4.7 Conclusions New behavioral fault models have been developed for the predefined VHDL relational operators from Table 1-2. These fault models are based on a functional analysis of the comparison functions GT and EQ. The symmetry of these comparison functions allowed the resulting generalized functional faults to be easily adapted for all the relational operators.
81
The new behavioral fault models developed for relational operators are based on the size of the hardware implementation and, therefore, eliminate the need to supplement test vector sets via methods such as heuristics. While the new fault models are more complex than previous ones, this is because they more accurately reflect the underlying complexity of the hardware faults which they attempt to model. A simple example was presented to demonstrate the application of the new fault models. Test vector sets were formed based on behavioral faults to the relational operators and the control construct if-then-else. These behavioral test vectors were then applied to synthesized gate level realizations. Gate level fault coverage was used to evaluate the effectiveness of the new behavioral fault models. When redundancies were not present in the synthesized gate level circuits, both the primary and alternate test vector sets developed using the behavioral fault models'produced a gate level SSL fault coverage of 100%. Even with undetectable faults, the behavioral test vectors were able to achieve a. fault efficiency of 100%.
Chapter 5 Arithmetic Operators Like the relational operators, arithmetic operators also generate large blocks of combinational logic. The predefined VHDL operators ADD (+) and SUB (-) are normally implemented by synthesis tools with standard library modules. Optimizations for speed or chip area may modify these building blocks, however, the basic function of the arithmetic operators remains unchanged. A fault modeling technique is proposed here based on complete functional testing of the arithmetic building blocks. By concentrating on functional testing, complete gate level SSL fault coverage should be obtained over a broad range of hardware implementations. Previous behavioral modeling approaches, based on perturbing language constructs such as ADD to SUB, do not accurately reflect underlying hardware faults. In order to compensate for this "big micro-operation problem," alternate methods such as heuristics were used to supplement test vector sets to increase the equivalent gate level fault coverage. The new modeling technique presented in this chapter increases the complexity of the fault models for the arithmetic operators, providing a better representation of the faults which occur in actual hardware.
5.1 Addition The ADD operation has several basic forms which will be investigated in succession. A two-level network would be the fastest, however, this circuit would require a large number of gates and gate inputs. It would be necessary to have 22n NAND gates of 2n + 1 inputs and one NAND gate of 22n inputs to add two n-bit numbers [41]. This number of gates and inputs is quite significant for even small values of n. In contrast to this direct approach, adders are most commonly implemented by the interconnection of smaller functional building blocks. In its simplest from, a half adder (HA) is a multiple output combinational circuit which adds two bits to produce a sum and a carry-out. A full adder (FA) adds two binary digits and a carry-in from a previous stage. To speed up the combinational addition process, by reducing the rippling of carries between stages, methods such as carry look-ahead (CLA) are used.
82
83
5.1.1 Ripple Carry Adder Behavioral modeling of the ADD operation has two basic forms, depending on the presence of an overall carry-in and carry-out for the resulting adder circuit. In its simplest form, the addition of two n-bit binary numbers can be represented as: S D—M/**
O^ Figure 5-5 NOR-only realization of example ADD4. 5.1.1.5
Carry-in and Carry-out
The more complex form of behavioral addition includes a carry-in and/or a carry-out. Since the predefined VHDL ADD operator combines two n-bit operands to form an «-bit result, some additional manipulation is required to deal with the extra carries. The carryout is produced by simply extending the ADD operation to n+1 bits and extracting the most significant bit of the result. The carry-in can be modeled by an extra addition of a single bit. Example ADD4wc, in Figure 5-6, demonstrates the behavioral description of a 4-bit addition with carries.
90
entity add4wc port(A, B D CIN COUT end add4wc;
is in out in out
std_logic_vector(3 downto 0); std_logic_vector(3 downto 0); std_logic; std_logic);
architecture behave of add4wc is begin process (A, B, CIN). variable opl,op2,sum: std_logic_vector(4 downto 0); variable carry_in : std_logic_vector(1 downto 0); begin opl := x0' & A; op2 := '0' & B; carry_in := x0' & CIN; sum := opl + op2 + carry_in; D when 3 => end case;
Z Z Z Z
B then GT -
it> -O-f
^z^^>-
^r^^E>^ Figure A-22 Synthesized Structural for example PLUS25. Fault simulations using the behavioral test vectors from Figure A-21 resulted in a SSL gate level fault coverage of 114/115 = 99.13%. The functional testing approach is still
195
able to achieve near complete fault coverage. An alternate synthesis tool and target architecture was next used to produce Structure2 for example PLUS25. Fault simulations using the behavioral test vectors from Figure A-21 resulted in a SSL gate level fault coverage of 190/190 = 100%.
A.6.2 Example MINUS25 Example MINUS25 performs the arithmetic operation Z perform_add; when X"01" => perform_subtract; when others => signal_illegal_opcode; end case; case element_color is when red => statements for red; when green | blue => statements for green or blue when orange to turquoise => statements for these colors; end case;
C.5 Loop Statements VHDL has a basic loop statement, which can be augmented to form the usual while and for loops seen in other programming languages. The while iteration scheme allows a test condition to be evaluated before each iteration. The iteration only proceeds if the test evaluates to true. If the test is false, the loop statement terminates. An example [11]:
while index < length loop index := index + 1; end loop; The for iteration scheme allows a specified number of iterations. The loop parameter specification declares an object which takes on successive values from the given range for each iteration of the loop. Within the statements enclosed in the loop, the object is treated as a constant, and so may not be assigned to. An example [11]:
for item in 1 to last_itern loop table(item) := 0; end loop; There are two additional statements which can be used inside a loop to modify the basic pattern of iteration. The next statement terminates execution of the current iteration
209
and starts the subsequent iteration. The exit statement terminates execution of the current iteration and terminates the loop. The syntax of these statements is:
next_statement : := next [ loop_label ] [ when condition ] ; exit_statement : := exit [ loop_label ] [ when condition ] ; If the loop label is omitted, the statement applies to the inner-most enclosing loop, otherwise it applies to the named loop. If the when clause is present but the condition is false, the iteration continues normally.
C.6 Process Statement The primary unit of behavioral description in VHDL is the process. A process is a sequential body of code which can be activated in response to changes in state specified by a sensitivity list or a wait statement. When more than one process is activated at the same time, they execute concurrently. An example of a process statement with a sensitivity list [11]:
process (reset, clock) variable state : bit := false; begin if reset then state := false; elsif clock = true then state := not state; end if; q tf E •
m in
«n
U V OS
J3 U -nM *: o
ai ..(Du e« t 0 •OTJ M a> a» »w «
AJ
Ü
AJ AJ
E
h m a> m to o * w M h ü öa> ca> a» ai • (I) M o o
a> U ^ 3 o w
. to X m M •• O VD »H JJ
1^
ö 0 ß
*d 0)43 ■^ at i>i0iatuouuvH H O) M M ot JJ i at it id -H -rt I I M u Ail l ß 42 43 at -rj ■rt (A M •H H 3 id
2§£!i&3
2 O H
< H< EH
J £H
ä§ ^ O ? EH H W to 2 H
IsHW Z M
g§ 3 CJ fe *J O O 3 O Ct M JJ
1 1
I 1
w TJ ß at
JJ
-rt ^*P:
a.
>
■^
■^ EH ^ to
>.o-
at tl
(0 u a
M
ra ■■
E
n
43
o u> S H
e
i at * « C5
a *w -■ *J E rd 0) H MUM at M oi
ß at O i
3 o to i
o u Ot i
> at 3
U U-rt •rt -rt 0> O) Ot O
\>
u
u -
oj at —
on
: t 0 1 0 1 0 1 0 1
J3
T-4
S co
O H W Ü1
rtu
% Y I Z XllO 00 XOOl 00 1X01 01 0X10 01 10X1 10 01X0 10 011X 11 LOOX 11
•a
-rt to
*-*N W i -H in u • U m
H
3 '
M
c a» -* E •
^"
>i .0t j K 01 i «; -rt ] 2 co w H CO J P
>i rt u n i
JJ
-rt JJ
ß 0)
to
w 2 i«w o : o to H 2 EH
" » a« J3 0 O
■^ o
M iß : •• HO O 4
•o *Ö JJ JJ JJ ui
J
ß ß 3 -rt -H o —
£H
TT
rt >i o — rt 0(JJ.rt>,NVj M U i o rt i a *o ß 01
JJ K U U U < U Ot M CJ I O JJ CO EH w O 2 U Ol J 2 0) M JJ M < O HOW 320. •rA 3 • JJC3 S at U H O >i >iOt at to o .-H.
Jiffl
-r, 43 o j
k ^ HÜ rt l-l ^ O
JJ JJ
id rt at « M MUM 0) Ol M Ol ß 3 O >,> at 01 O M « EO C5 CO CU
g* 3 ß B 5
u u at
rt ffl -rt
M M 43 43 Ot ■H-M Ui HH3
231
SHIFT4u X n w 0
0 4J
3 ß
££
•0 M 0>-H
^PQ--> OJ3
a
M
E
ai
* s
-HÄ
^ (1) > 0
g1
T1
HI
**
wt
a) 6
rH
BJ
c1
U
°1 ='
JJ
w
*»*>u
ß «J
fa
U
»w r-H [fl
J2 a« ■0 M
RT!
*s •
C
ji c*
0) u
X*n
8±>
0 3rH
a
■^ at
JJ O JJ
$%%
■ «
S-o u »
0 30 •0 OTJ
?U ij>• '
0 W O ii OP (J JJ U V u
S
s
fe 2 W3
3 JJ >u
fa fa
X!
W EH
w ei «;
H
ja ai a; •H tn in H33
u
?s
— ä - ■•
W-.H
4JO < O >i M Jj -rt JJ 01
3 > v 3 U3 E J :J- 00
o
rIOH l-i 1 •0 ITJ JJ »0 JJ « JJ tn 10 C J-> •HÖ3 •H 0
to •H
HU
M
n
u
1
uns w • 5
U
Ol*H O
W T3 E-«
« f^
I
EH UV H-rl4J Pd Ol M
M 0 JJ
> OJ > 1 > 1
• 0
H ■"d' -H E-< «3 Oi W TH 0
3
— M 0 JJ
a
■
— J3
at w
0 (0
o o 00000c o o 00000c - in in in in in in in 1
Ü — a- u
» -H H 0
J a
at
c
H
& 0UEH"004 MEH^OD, OBO ß X ß 0 BSC X 011-1 ai EH at >H 01 £H QJ EH at A jA tn 3
S
tn m O
4J t-t O O rH •>HO O r-i O iH O rt; o i-i rH O OlOH
Of O 0) O >
3
(0
Dt O
Q. ött
JJ
3
3
•HOHttHl»)HHfi.HO«
a o>
c 0 >
c — o, ■•
-HHO
fa
O rH O O
i-i O H O
o rH O H
O O r-l O
«H c O T rH ( O t
A,-H .SJ.J3 o tn ■y •• ; 3 J^> S JJ 2; »w
HOHOH( O r-t O rH O T r-l O H O r-i t O t-t O H O T
fa
01 JJ « w
Ot J-» us u D m C ß at 01 o u
*w ■■ E at Jo u ^ n & 3 O 0 u w a
at > at a I*
ca a
232
LESS2
CJ u
01 > M eft U-n
•r> O
W QJ i-H "-*
tN CN •• VJ
X in n ■• 0 us
§ o
3«H •v
£m
3.5
T1 0) JJ "» [t.
01 ^Tl H tt 03
Ä
l
XJ
C
0) -
3c
Öl 3
M-H "- U
rt! O W
5
< > ffi ? •H .
U3 0) H HUE-" H S < l*i K U U rf •HdJ
o -nja X
£ IQ
take
«■ND ' r- to i
OJJU iH W D
.. -H tO ' uXV U IH •0*0
^ ID D •• G ■nBN JJ JJ J3 w to m « u 3 o
oowa m o < 3
i
i
ÖJÄSTJ 0) J 0) U JJ U
w M h 0> JJ (0 m -H -H i h ^ ja i J3Ä a u -H -H tO H HHSfl
>, >,
M *3 0*0 3 0)
Ai in
u ••
O »J3 3H
a> ja
-» (D **» *0 M at OS
J « 1 >
>
o 4J u ai >
43 Of
-o V 31 3
lJ -H "^ M
c > a> s
I l-H u u O)
«) g ^J 3ÄOÖ
TS
r< in tn
£
>
«S
w J I
»■H
Tf
Eb
rt
fc
D)
O to
0)
H-HC - | -H ID «O fN -H
• W
B
W pa
0)
- , 0> —W0) M 0) >i4J W AJ « -H JJ Li J -1-4
JJ iH
,
cu
O
m
r»
W-H v >w u
ii V V
in H
o 4J u — a* > u
- I w I) TJ (N J ) JJ 10 D tn to H
H
■ 0) K (UHO-
A I T
4 i
(N -^ 01 o> o u w J«: o ü w a to ^ OJ O -i 3
I i
i i
i i
i I
u u re m ^ u
jaxi
•H -r4 rH r-4
233
EQUAL3
4J > Q*JS
OS
>Ä
V 0
ÜE,
■n U M (0
u ••
£ C
0 «J 3H
fl•0
iP1
Ä n U Z. w. *-M
«* tb oo a\ i *J 01 ffl R)
> > V I l-H U U Ol -H -rt O tn DI TJ o> ^ o •n Oi^jj. ^*» O rn » , U ^ B w o rg nj JJ > (Ü EJ t U Ol i Di E
O. 4J (0 jQ Di
o TJ at JJ RJ re at 3 H H U k 0> 0) V4 Di C 3 O at 0) 0 M o utoa i i
g
«HO
> at ? ID E J
Q) Ji -o at at ^ jj ^ at o w 3 ■H 3 • JJ 0) u >,>, at at M U 01 JJ Id (0 -H -H M XI ■H r-l
k JJ J3 01 u rt in n rt 3 ffl
J rt E o H w
o o o o u u
I i
m en m 01 M JJ fit
ot jq at
"N.
^-TJ H at OS
L.
rt OS
s u s w —
1
rt S H H CQ
< w (^
PS C JJ atTJ 0) JJ
EH
0
o
.. a
w
01 M 3
>iJJ JJ k
K O
SC
fi 01
jj a
T)
U.
rt 3
0>
at
01
« A VII < < (^ en a O
m r- *J 0) Dtrt 0 a C ox JJ H tJ 0) u t)
ffl -rJ
0> g •H
W
JJ
c
SJJ JJ rt
Cd
o ve U
rt
JJ
IÖ J3
ii .. a> v >w u ID EH u W tn OTJ a> at
■" OD
at XJ ffi #M ™ ^ _• MM
oooooooo oooooooo inintni/imuiuiin
ooo ooo inmin
ooooooo ooooooo in i/i in in in in i/i
HOOOOOOOOMOOOMrtrtt-lrtrtrtrt E-
OJ 3
E *° O 5^ JJ Q •njj X
U m C U •■ •■ W Oi C >, « JJ 0 J2 E H at n TJ n " u o> at »w « DIJJ JJ E i "p •■ «o (0 at 3 i -S m u u u h o ^ at ot M oi jJ(DCC30 Q AJ at oi o u X nj o ü w & > 01
H
E-«rt
w
HUM
SEH
EH
H O rn rf rt h £H - EH I 01 M Ot WTJ JJ at JJ m > oi u W 01 (JJ -H Ü ' M Srt at Ol :* i2 at pq pi o oi >i
in in i oi ai
IIVIU JJ JJ rfl « 0) H U V V V U C C 3 4' (U O
" £ rt U Öl O VJ
lilt
o ■§ 3T3
c
E
cn en
tn O
«^
JJ
>
reaft« ^i in
00
JJ ß 4) C
r-t
ß
►*
rH
"O VI
W -i
«> 1-4
r~
ta
t~
b
pi,
W — o £■«
•H CD
W M E-< ffl
O
j3 a» jj a •ri gi c r-f
3
>
sw
5
i-l H -IM 4) "O -H ß at JJ -H 41 Ul 1/1 -H • H •• 4) J »< >i 41 — M 41 >i4-> Ip-rl U ^ M -HO
OJ
ß 4)
-
I u
X
>1
W *J
H
CJI'H u 0) □ J3
H
4)
U O
u w in
a u
a)
vo
u
TH
•H
1
VO
^
*0 tn 3 C O
tn
J
i i
C D 0) tfl
JJ
U —
01
..
re t-i
in
4) »T-l
"TJ
c
4>
0 ß J 0) O C; 1
1
> ES J ^
^r vo f-l
o
TJ "O U 0! 01 IW .. JJ JJ E re 4i re u MUM 0) Ot M tn ß ß 3 0 0) 0t O U C3 Ü W ft
—■ re
in 41 r* •■ in 0) 4> .-1 H
?«
M-H -^ U
0
ß £i " o ja E
*JUTJ J
0) 0) •o E
J
J3 41
VD
3 J-> JJ-r4
*
-H in in ■
H
re>.
^j
E
10
n ^r
Ü
4J
■^(N
a\
US u
ß
^
o
U —' 3 ,
0)
>>0! o —' ft w gm X« X
4J
U
^> >
>.m
•4J
0
■
JZ 41
(N
00
4i jq ^ 41 ^ b* CQ ■^•0
.
TJ
U oo
J
in
™
4>"H J3 JJ
JJ
•
-rt m
^f
■
in
o
E
CN
u
0)
c
41-W
o
0
m
o
-^. tN
1
r-i
U 41
0s
JJ
VO ^J
■ -rJ
reE ^5
^•o
en en
3H
1"
*J S
CO
o
JJ
•H
^ [L.
ß -H
0 VD
HI ^.
■0 4) A ^ 0)
Vl 41 jJ
> U
in M 4» rj i~4 ■■ -*v VO M in
1" 5«
i"
(0
H ft
U 0
O v> 3rH
u
4> xn
U J3 vo ■** j- 4)
4)
4)
JE,
*H > to VO
in o 4) (N
E o J:
ßcn 4) 0\
41 -H E to
t-i
J3 -n
o
0)
0 E a tn
4J -^
tn
i-t
J
•^ ß M 01
41
' 1
' ' '
r-l
1 0) Ä *D 41
W JJ [fl
4) 0 -rH
3
4t * >i 41 M M ttt -H
re re
M u A A
r-l T-i
Ot to 3
237
GE23u CO 3 V
b%
•0^ 0) u -- 0
0) c n E
«'
g 0
X
in >
3 tJ\
w J3 OIEH
•r» 0 HXi
3 (X en IN CN
St
1^
«ü
^■0 M (U O 3 C
U3 (N
a» -v E •
U 00 ' ä
b
3
ei HO o w Z
s &>
BO
00
fa
,_
MJ
•-
I
3
HIT! 3 B O 0) to ra •• ■H . u ■• B 3 0) O < U fl >i 0) — re M AJ W nl -H 4J M U V4 -HO ■Q tt) iJ a *Ö -H tn c B rH 3 fl) 0)
3
j-i
—
< w O
m c •H
I 9
-H 0 U H tllil cn 0*0 U>
3 ä
tl^X ß W
in ja
0)
Eoooooooo -HOOOOOOOO iJinininuimmini/i
90
fa
3
a
nr
WOOOOiHT-1tHT-4
o
0) to
Tl
«I
i-HiHtHOHOOO
rfrHOHHHOOH i-tOOOOi-tr-liH (»OHHHHHrlH
ro
fa
>o •rt o
X! SO ■nfi X U ^ >
3 u
af
u
a*
H-H ■^ U > 01 3 IO E J
s u AJ —
u - -o 0) 3 0) TIMÜ .Q CN to (0 cu id O (U h u u ^
J ?g
Sao S n O •■* CN i 41
i
-H
C 3 O 0) o u
WT3 i EH O W E-t I < rH -H W ^ -H I H 1 (UMfl SJ ID iJM
10 4J BO -i-t
«Ilbltinh •• •* ; -H ü • a» a: •• 3 i « o> eno ■— * u ID o* 4-> ra DifN 1 ft! U ttl >. H 0) 1 *C (< -H 4J I O Dl j cc a -nip, a) u-u *o H i w c c J i D 0) a»
■nU
■jay
>i
K > 0) S
*i w
"ä 1 ■S'o U di_
äVn .. 01 c>:
X> E J -H Ä O O U n£X IK W-v>
>i •• OX1 E
1 tH*0 *0 M a* 0) . ^ k id «
u u ÄX)
238
LT12u
MT2 H JJ O M 3 ■ 0) >i QJ rl 0)
QJ k < H O O O S QJ H W U U U ►■ JJ I I I
Öl Ir3 a\
at* "3 0*
u " o vo
■ &
I ä
U> rH rH
«-
t)
■Q h
1
>
1-*
9 J
0>
SCO
9N
fe fe
>W
QJ Ä -
a
rH (N H II
Of
u
H
£* " H 3
c
3
JJ
o
Ä
.*J 4J U
H
ja
01
4-> a
T)
0)
tu
IDA
• i4
tn 3
c
kJ
O
m v *w u •rt o II
V V
m
T-t
u • s
fS
in in w in - C B C C 0) E o o o o
•H O O O O jj tn in in in
rH rH < rH O dP O
rH rH O H O
O O rH rH O
O O O O H
g JJ
(N
E
|3 - .. CM a > QJ 3 WEJ
JJ tn
•* 1 ■C'O
HrH
jj
f^HHOO
J
jj
««
«< rH-rH 10
*-)
to
Ä QJ -0 4J * H-H «J -* M S > aj a
§ 0!
»■M
(S 9,
W
-rt J5 O O M-nfi a ft* u -^>
o
< H 0) tr>| U W ■J Di»j D a>
tn i- 4-1 ai 0) Ol-H JJ t) a, •rt C OX (J tn u
rJ
OS
rn
X
o
■H H JJ
•H
w
•4-1
OH W H
-rt
s
R
3
M rH JJ
TJ C 01
M-O-O in
0J 3 •n« J3 rH O JJ
■• 3 tN H
01 JJ nj 14
QJ . m
Ij-H
E > JJ 3
QJ
-H J3 O Q U-nJ3 X fa 1M^ >
3 HO
n >i -
2
B
01-0 01 JJ 0) «
U 0) H
H
ill
& to as
* o u u
v to
-H O
*J U 01 -H B 0X1 ■C-H U
Kg"*
Si
»■ox c w ti in
e»I
Eo o o o o oooo in in in in
•H O u in
JJ
0
2es
lOOrlH
d'
< O O S H O
C
-■ •
Or
.u •H
^ ?
U 0) •H 0 01** O I -J-O IJJ
o o
•o w
t*
u
JJ
9 c o
-e* i-H H J WO J i J-» C
'rt
H
SJ«
u oo N"
E > 0 3 w> E J
n-nxia:
CL,
W *->
ÜTJ *; it _ E ■ Ö >, - ] C o J3 E
o a» a & a) , >i >i N JJ -H
i I
U U U U U H -H
O ft
R
iw 0
> UJ
sw
(11 0) 3 U QJ
JJ
JJ •H
—o (N
X
w
C
JJ
ffl n VO CQ > SH
i
J2
A
rtl w c to H «W OJ ÖI-H o a
£ H h CJ r» P. « ja
e X
c o>
X
H X
II
i
oooo oooo inininin
oooo oooo m m m in
OrHOtHHrHOHOHrHOr-tO
M HOHO tw p,OHOH PHOHO CQ O HOi-tOr-4
OHOrH aOHOH 3HOrHO 0 HOHHO
HOHO ftOHOH 30HOH O IjHOOH
t
OOOO oooo in in in m
oooo
oooo m in in in
rHOHOHOWOtHWOt-t O rH
CN OHOH HOiHO OH O H >* ftHOrtO ftrHOHO ftHO DOHOt-l DOrHOH 3HO (SO O O WOr-tOrH WOHHO J^HO O t-4 ^OOOHrlÜOOOriUOH Oi-tOrH rHOOH Or-I .H O ##OOiHtHdPOHHHdl>00 O H
241
COMPARE
B,
iJ >
M
g-ri "v. M
CO
»K
RR >5
01 f>, 10 Ck
J«: in O VD
u c JJ c
S £
■ s *
Si ™ 3
v
M >i
JJ OJ L.
3 u 3
a
a Eo
-u
■■
H
,H
U oo
-*> >
J3 « ^ ■
J3 at ■nU M-rl "- U
QJ m a>
> y s
VO 01 H H U3
jj'O O ß •H
(N m ki'O'O
> > £ £
if*
U U < H ß CCCiCfl
3 o> M •• ^ M * c :
0) M U I o ±J W £ -U JJ XJ iJ XJ iJ rH tn c a c e c H OI HI 0> 0> (L) C
o
u (1 01
a E
e u 1/5
d) 14-1 .. < JJ E n •>. ä to « 01 ffl M U M , 01 O « U M Ü1 0 3 0 g (0 ^N. ai fltOh
o w a. [
i
i
if ii-o ai o tn ? • a»
•H
>i >i OJ M M
Vu.' u
3 > 0} 3 « ß J
Bom
E
rt H 0> W i -EH I M K I OJ W TJ «J J
u O 0) ot*w » o> U XJ JJ ß *" •• «J rfl d) S ■S ^f M h U U 9, at a> ai u oi J M C C 3 O 9 idfci o^
o u ,
'^
PQ
M
o 0 JJ O
(0
*»
■o JS
u O
80.
0
wo 0) to
u
h
cc £
"^.K (0 «j OJ S 0^ H ^ M u n . o> o ai o> M tn S n 5 ß a s o a» o w Ü w a
>&|° 1 ■
(0 1-1 £} •rt H
-H 0> V] 3
3
s GQ o rt
CO
4J
1-) 0
c01 a
I
i
R
>* £ >< 8 m •. .» .» r« v o >*
1
■rt JJ
iH
pa
V)
PQ
rt .H
•ri
14-1
£ O
3
•rt
'Su
(N
w
rt
JJ
C
0X1
at
0 IH
n
•.
W
? cw 0) CQ
T1 0)
a a c c
oj M tn en
c a c c
in tn tn to a c ß B
in tn tn to BÖGE
w w in tn
BCEB
oooo
oooo oooo in in in in
o o o o' oooo in in in m
oooo oooo in in in in
oooo
oooo
HOHO HOHO HOHO HOHO
OHOH OHOH OHOH OHOH
OHOH
OHOH OHOH OHOH OHOH
HOHO HOHO HOHO HOHO
OHOH
O
HOHO HOHO
HOHO HOHO
HOHO
H
HOHO
HOHO H H O H O
£o •-
o o
CD
in in in m
&
H EH
Pi
>
u01 Otl-oi U 0) '
W AJ
—m P: — OP:
w
-
J3
i en c
TJ o
1
■H
"J a £
JJ JJ w to in to w to
(Ll
H
■
tn
11
.„
> £ 5
x oa
< 01 u •• u ro ß : » ID a o J
01 JJ a i a) w n &EH ; -H o -OK ; < a) o o-
u
«J o>
•^ 01 V o
JJ'O
H
ii i-S' E-« 0«* E-*
'S «a
u u
U O-H ■rt -rt -H -rt-H Dl 0) Oi o o o o O OH HHrl rtH I I I I T3 73 *0 •0 T) *J JJ JJ JJ
i-ß es i
*? -
aji3
OJ
N
HOHO O iH O trt
t-l O H O H O f-4 O
HOHO HOHO HOHO HOHO
trt O t-l o
O r-4 O rH O frt O H
r-f O H O
O H O H
HOHO HOHO HOHO HOHO
O H O H
OHOH
OHOH
>H
r-(
M ffl
*-< fa
OHOH riOriO &rH OHO &0 HOH 3 H O ft o 3 *H O *
in in in tn
(A
O H O H OHOH O i-4 O H a OHOH O H O i-t O rH O H E >* OrtOH H OHOH H O H O H o MHOHOHirtOHO H O H O H
u
«ri e
"S
01
h
1 N
a >* E o
^H O H O
OHOH
OHOH
H O H O H H O H O H H H O H O OHOH X QjO HOH 0,0 HOH an OHO 3 O H O H
O)
fi fo
fe
U O H O H U O H H O U H O O H rt Ü O O H H ÜOOOHCJJOHHH dPdPOOHHdPOHHH
245
COMPARE34 Ji M H 0
s c
** ■D w 0)
01 TJ X C W 01 CQ
a E
o u
«ASS
TJTJ JJ 4-> JJ 4J JJ JJ [0 VI
[ -H -Hl «rt 3 n O 0»
^P ■ (N I in • oo
S"
j u
■ o ^ w ID Q> 2 H H O H 3 H jU H U U
§^°
m ai lo u u ^
a» i-t oi d we c c P o a« o n es w a.
o; . i
- o
VD J3 QJ -r»4J in -H •^. U
H ^ >
■ o Q) U >> 0» 4
•rt
01 E "*•» in •H oi V
>%^
O 0 o o H H H H
>!fb M^>
0uuu Ju1 •H01 -H0t «iHt)> -HOi
Hi
O
■ *t
"iH U M IH 0 0 O 0 4J JJ JJ JJ
a
u o a u
i >
0** UCQ
i i
Dl W < O *J iJ
H
- - I
mu w
0) X T> 01 D 01 u -u h
oi o en a w
•H 3
* 41 >,>» 0» kt M 0> (0 OJ EH 4J -H i JS l
jaxi o) u
-H-rH tf) M H H 3 (fl
OOOOOOOO OOOOOOOO intninininminin
ooooooo ooooooo
ooooooo ooooooo
OOOOOOOO OOOOOOOO
in in in in in m in
LninminininmLn
OOHHOOHH OOHHOOHH OOHHOOHH OOHHOOHH HHOOHHOO HHOOHHOO HHOOHHOO HHOOHHOO OOHHOOHH OOHHOOHH OOHHOOHH OOHHOOHH
OOHHOOH OOHHOOH OOHHOOH OOHHOOH HHOOHHO HHOOHHO HHOOHHO HHOOHHO HHOOHHO HHOOHHO HHOOHHO HHOOHHO
OOHHOOH OOHHOOH OOHHOOH OOHHOOH OOHHOOH OOHHOOH OOHHOOH OOHHOOH HHOOHHO HHOOHHO HHOOHHO HHOOHHO
HHOOHHOO HHOOHHOO HHOOHHOO HHOOHHOO OOHHOOHH OOHHOOHH OOHHOOHH OOHHOOHH
in in in m tn in in
HOOHHOO OOHHOOH H H O O H H O HOOHHOO OOHHOOHHH H O O H H O HOOHHOOHOOHHOOHHH H O O H H O HOOHHOOHOOHHOOHHH H O O H H O X 0,0 HOHOHOH &OHOHOHO ftHOHOHOH 30 OHHOOHH pOOHHOOH pOHHOOHH n o o OOOHHHH OOOOOHHH OOOOHHHH M U JHOHOHOHOHOHOHOHOOHOHOHO SOHHOOHH OHHOOHH OOHHOOH SOOOHHHHdPOOOHHHHdPOOOOHHH
N O
H H H H
H H H H
O O O O
O O O O
H HOC H HOC H HOC H HOC
O O O H O
O O O O
H H H H
H H H H
O O O O
>H
H >*
O O O O
H H H H
r r r r
IN >< ftOHOHOr 3 O O H H O C ffl OOOOOHr U < O O H O H O H O r O O H H O O H r dPdPOOOOH H H r
246
ADD4
— ~~ O
o o
o
0 0 4J 4-1 XJ C
c ö 5
5 5 o
T3-0
Cl ei o ■*M h 0 0 O JJ 4J JJ U CJ U 0)
< •**
>I >
VO H
ffi i!U §B
1
Bo
1*0
•O *Q JJ JJ JJ en tfl n
H
B 0
< iW H M w P; Itt H < ^ m tu ••H U] «
H33
JJ
C 01
u 0
a
< ra 0
- "0« "*0
a
tQ in
CQ
0) M 3 u
C C 3 •H -H o JJ
JJ
1
0)
10
in
*ri
0
U U-H •H -H 0» Öl D) 0 OOH
E H rH
J3 0)
A rijri
H« o MHO H -H JJ P: en in < o ■ H o - IH WO B pa 4J w
•o
v •0 •o (0
JJ JJ
u • u
>
m
■H
M ^ 0 0 O JJ U U V 01 01 tt) >
.-1 H H (0 - 10 11
II
in 0)
0) JJ C r-i
u
>£
C V 0.Ä 0) u H 0 010*0 JD dl
u oaja M O i0 J2
•.
+ W 01 < 0 01 o
^,1 •o •a n «s w*
>
m in w in C C c c
tn tn tn oi fi C C C
o o o o o o o o in in in tn
o o o o o o o o in in m in
VOHHO gOHHH ■rt O H H H JJOHHH
•• o
H o H O H O r-l QOriOri O H o H
o o O O
o o O O
o o o O H H O
H
HHHO H O O H HOHO H O O rH
rH rH rH O OOHH < O O H H OHOi-l OOr-ti-l OrlHO dPOdHH#OHOH«l
CQ
tn vi tn tn C C C C
ai tn tn in ß C ß C
in
in in B ß
o o o o o o o o in in in in
O O o o O O O o in tn in in
O
o O o o in in
o o o O
o o H O
H O
"O
01 O H rH O EOHHH ■riOHHH JJ O rH rH rH
o o
o H H H
fn
•• O O D O O
H H H O H O O H HOHO H O O H
H H H H
H H O H H O H H
e >■
^,1 ^
u5
rH rH i-H rH
O O O O
rH rH rH rH
o o o O
o H o H
c
o
tn
o O H H
H H H O O H H OOHH «(OOHH OHOrl rH OO OOHH OHHO O OO dPOOHHdPOHOHdPOdPOO
i. > JJ S 3 J3 O O 3-riX! X H U -^ >
3 u 3
«riU "l V "8
■w tfl
fa
Ü
«0 »a u
ot — ai a) u-i •• *J~>» i -Q -a j TJ
jj to ^ a»
E io oj H h U IJ 01 ^ 01
JJ
ä ■■ 11) 01 0 u ■ «a
•
i
■
■
ADD4
•a a K5
247
248
ADD4wc 3
3t~ JJ
en
-a a* ■OrH
ffl
rO H
0.
■^H U W
U W SO.
a» .0 E ■n O MX: ID
so.
t*
•0
0) *
E *
-*. *" ■n
at ja *v at v fa
01
B C
^•n
-—
U HI
u o n Q
o
OS
*J
c
01 *J E ■
ß ß ß
ß c o o oo in in
o oo o o o in in in
o oo o o o o o in in in in
o o o o o o in in in
OHn O O H
r-t O O O
oo o
U
H O O OHO O O H o o o d
U
oooo
ooo ooo
OO
£
JJ
o o ^J «-■ H T3 m
Odd HOH H H O
tHrH O T-4 H O
a) -n n 0
^
OO OO i OO
O O O O d
O OO O OO O OOd
mm — M M M O 0 O JJ JJ JJ U U U 01 U at at > --H > > I u tn I lü-HO u u-H bin •P4 -H Ol O I ÖiOO-tTt O OH JU HH 1*0 « i I'd 4J •0 »O JJ tn JJ
u
JJ JJ M 3 tn «i ß o
-* «C
C ß 3 ;• -H -H 0 " »T4
ffl — HO JJ C*
, S B *
-• ft
1
■ i u
< o ^
3 w to w ß o
JJ JJ
-r8Äi O 0
u S "0 *o
W
U U Dl H -rl •rt-H O I Dl Ö) 0>H Tj 0 0 O I -U H
HH"d M t | | JJ TJ
OO HO H i-4
oooo oooo oooo
M ffl
> > o o> l I M o u
]£OD U-OJ3 X
H O O HHO
OHHH H O H H rlHOH
0 ■*■> - U
v at
at ai ■-•H >
u> E J
t-t H O O HHHO
H H H H
u u
0 ^- M S > « *
3 ooo ooo
UM 0 0 JJ
JJ
B II
ß
-H •H k. M M t7> U > JJ
>1
M M
0 0 U
O 0) M > 0. ffl
OOHH OHHO dPOOHHdPOHOHd
QJ
n SO
H H O H HOHO HOOH HOHO HOOH
249
ADD4wc
\ fi
JJ
^f
cu a» b u
■^.
vt
JJ ■H
10
ij >
ai-0
X
(o E ü O
•O a* T)iH
at en
x: o -OJ3 u^
\o « Ä 01
(0 w
rH CU
6 a
ItN
\ u
s ■■
■u
•O in
-g ■•
r.a
E
U in U W XI
9 fu
u
«s
o
»>!
in
U 00
TH
>
*!■
3-rt
?. o
H O
3-0 JJ-O u «J
E ■ ^.CJ U) 1 -r-t in
in
3 a
3 u 3
a ^-o U 0) o s a a> *i
m m m H
?:A
JJ jj
CO r «fir ^ 01
3 '•J ä t JJ 0 .
U
! £ *, >0 E J ^r •D£ OQ
*
«■»
73u u*o aj tn
M
|
^f
n
.. u
f-i
b
Efl
u
3 (fQ
< o
01 J3 O O
E
u (V a» ^ öi c C 3 O 01 now o o w cu
!
i i
i i
i i
Qt 01 01 •H
M Tl ai U JJ ^ < o O W 3 2 & 3 • JJ C5 S 01 O H O >, >, 0> DtflU M U 01 JJ (fl fO •«-! -H
1
I
W U All XI XI tt) U
■r4-H (I) h HH3ID
m 0) M 3
§
W H 2 EWO < S H 2 Of-« H rf J M
o u 3< a a
ü ■
.. !■*■ i JJ U Tl Ü 3T1 Tl 41 ^f (0 01 JJ XI Tl^ id o ta u U
T) ^
01 XJ •■ JJ E u >. M o o o o s a ' 'to >i >i Oi OI H w u u U U H -H I i U U 01 JJ O) T) -H Ü) 0 rH
Mr- » >. ■•
AJ
^f vo rH rH
i^ > 0i 3
£ (CO
0X1 E 0 UT3 Tl U 3 HI anw ••
O*0 J 1 «0 Q U E 3 ■ox:
ve
(0 •• "v ID .Kin u ■• O ID
£
Sj5
>
E ai 2 w
* CJ
Hi u>
u |
ri
30. "0 D
^ 1-1
£s
JS
pü
ffl ffl U U XI XI •H-4 ^H i-t
3 ^ JJ W
-rt -H JZ 01 u m u 3 nj
250
ADD8
at xi
^v 111 u oj OS JJ
oooo oooo in in in in oooo oooo
H O O O HHOO HHHO
oooo oooo m m in in
O OO O OO in m in
oooo
O H H H
H O O O HHOO HHHO H H H H
O O H H
oooo oooo
OO O O
O O O H
OHHH HOHH HH O H HHHO
oooo
iH O O O
iH O O O
H O O O
r-( O O O
oooo oooo
O O O O d
O O O O O
O O O O O
O O O O O
O O O O O
I
O H H H O H H H O O O O H O O O d» O
O O O H O O O O
O O O H O O O O t
o o o o H o o o
o o o o H o o o
o o
oooo in in m in
oo in in
OHHO OHHH OHHH OHHH OHHH OHHH OHHH OHHH
oooo
j-i rt
O H O H ■*0 H O H O H O H 0» O H O H E O H O H •HOHOH JJ O H O H O H O H
HHHO H O O H H O H O H O O H H O H O H O O H H O H O H O O H
i-t H H r-t r-i r^ M H
O O H H QOOHH O O H H noOHH O O H H rtj O O H H O O H H O O H H i s
HHHO O H O H O H H O O H O H O H H O O H O H O H H O O H O H dP
O O HO OH O O O O O O O O O O
§■
u OHHH HOHH H H O H HHHO
O O O O
in in in in
in in
O O O O O O O
O O O O O O O
H O H O H O H
O H O H O H O
OH O O O O O O O O O O O O ri H H fi ri ri ^ H
O O O O O O
m m m
oo oo in in
o o o H O O H H O
r-i ^-i O O HO
O O
oo in in
oo
r-\ H O H
r-i H H O
t-i r4 r-i r-i OH HO
H H H O O O O O r-i t-t O O O O O O O O O O O O O O O O O O O O O O O O O POOOdPOOd
O O O O
in in in
in in
.C ai
•nJJ
> O H O O O o
f-f O H H
O O O O O O
ooo
H-H
■^ v< > V 3
a; u 3
H O O H H O H H H
£OQ ■r-».C X H -^ >
EH 0
O H H ri
o o o o
o o o o
H H O f
i -] JJ JJ-H
0 0 -U Wü U U U OJ
>I > 1
•nJ3 SC
fO
H W
JJ JJ M V) (0
tJ
C C 3
4J
f-< PS
ft
JJ Hl JQ *0
U O *0 0) rH —. ft» ■n I'U j3 oo oo ra id 01 fl 0 *0 "O M M u u ,-0-0 a> OJ U 01
c a o
u
-H -H 01 01 0> 0 OOH
w H
00 •O ea NO
U "D •H-0 jj (0 ■* - rt ■• d : JJ E •"! -n U | 41 a» u-i ja en co JJ JJ O ^-T) (0 "0 u u o _3 iH ID OJ OJ U O U"-; d C 3 ac E oi 01 O
> co a> C5 r "D U i ID CO
I
r
tJH ~
— *U U o o JJ JJ U U o a*
•a
> 2!
ft
>>
^* VJ
< > 0> 5
2-° 28
* : J J
a (A
: -H o • : rt * £ at
i ■« * * id «t B U H
5 w q s 3 o so
>
i
3*
Pi
**« JH
I I'D TJ T3 JJ 4J jj en Dl M
P M
•OT) 0) B — a; at •r-t I" JJ JJ ja •» ^ nj O O
'33
M «w " E OJ ra u H u Oi 3 0 o n W CU i
Ifl 0) CO w C C C 3
w w « to
01
>
w O
oo oo o o o o in in m in
o oo o o o o o m in in in
J3
U
pc o &
1 OS Ü Ü >i I ft < -rt JJ < 1 Cd 4J a
y ■• at aj o u tt Tt o o w & i 3 i
O E-" < i-t w w
£H
4 ... £n |.H H 1 0> W*Ü J 0) JJ «* 4 OJ W ui J3 £H
-0-0 U — o> a> *4-i *•
Oä U U
u 0) > u
O U-H •H-rt tr> tu Ü1 o OOH -4rH
Vlgj
s
n
0 JJ
W C
'
——o o o O O O 4J 4J JJ ß
^-
BEB O O-O
r-l (0 X JJ •»■H H H H rt - * 1 U • Ü M ** -rl
MW — — U U O O JJ JJ
WHO EHH
U Ü-H ■H -H & 01 (31 O OOH rH r-t 1 1*0
= o-o u
00 r«N
**
(0 H «< U
JJ 0 ■H JJ
H3 3
at J3
ft
*( « 0
^ % ■- m 3
OJ
Ifl
OJ
JJ -H
c u c OJ
fj 0
0
c
V
at tJtO'O J3
ftjq
QJ-O
a>
3
(A
IS 00
fe
c c a c
en 3 o o in
co C o o in
to fi o o in
to e o o m
3 3
3 3 3
O O O O
O O O O in in
o o o o o o in in in
to 3 o o in
to 3 o o in
O O O O
rH O O O
rH rH i-4 rH
O O O O
rH rH rH rH HHHO H H O H HHHO
H o O H
H H r-l rH
O O r^ r-\
H H H H
H O H H
•• O O D O O
rH rH H H
O O O O
H rH rH H
H H O H OHHO O H O H OHHO
H O O OHO OOH O O O
O H O O
O O H O
s* 3 cu
1IOHHO ßOOrtO -H O O H O JJ O O T-4 O
n
< < atu — >
3 JJ
M CO
n
w
h
c a 3 -H -H 0 JJ
C at
0
JJ
H
X) at a*
3
•o *o JJ JJ JJ CO w to
in
-H
J3 3 >IW
^r A
u u ai at at >
EH
H -H JJ K O) W < O • H CJ - |H W T! EH Cd 4J W
VI •H
— U 0 JJ u
HHHri HHHO H H O rH H rH H O
rH rH O rH • • O iH O H O rH H O O H O H O rH O H Q O i-4 O H O rH rH O O i-l O t-t (Q O O rH O O O H rH (dOOHH O «H O H o a ^-1 r-t OHHO dPOOHHdPOHOHdP
^ A
sK
00
^ tb
OJ E •H JJ
a
CO 10 W W C 3 C C
o o o o in in in in
CO
o o o o o o
(0
o o o o o o
CO
CO
O H rM t-i
to
H H O O O H O O O iH r-t O O H O O O O O O O H «
«\o -H JS w -i-i U M
u
sy
11
•n.Ü
tn «1 w
I»
U M
^
in u>
!»
4J-^
£
X! C
VI £
M G
v (fa
5 . u m—
oo
OS
5 'S
c
flöi
•o
>
X!
r*
JJ
«
B
M >|
'
>
u-rf UU
J3 at o u a lüöttP. > TJ
IN
S-0
>>
H
ä 2 S WIN
vo
Ä 01
•nu
* Tl tn -0 u t10 a
I
U IU •H O Ü-H 0)->H-H Di O OlQO H O O H |HH |
•n.C a
XI 3
n — H 0 4-1 U
41
IH H
u
> a s V •• < U3 E »J E
u
isroo ija J= n£ a if 3t< H ^
>
< 10
1 Ti O.O E
*J 3
UTS* -0*0 M 01 10 U V 0) >w
4J 4J E 10 10 o) 18
^■§1
0i ß 01 o
0) ß Ot ü
U 3 0 w
tJi 0 u a
OOOOdPdPHHHHdPHHHH* dPOOOdPdPHHdPHHHdPHH
— -^0
©0
0
0 0 4-1 4J 4J ß
H Q
0 0*0 m mm — —— M MHO
-co
J3
JJ .-•H H U
U U V 01 0> > - > > 1
t-i *t -H
u
fn UTJ
Q
Di 01 M < O '
3 rQ
n
rH
ts-
9\ *H EH
•H
-
|H
W -0 ^
■stoi
H
• a
■d
u a 0: ON
X) 0) 01 ■H 10 W H 3 S
u
•0
1
ß 01
U (0 10 to
in in to in
0 0 0 © 0000 in in in tn
O © 0 0 0000 tn in tn in
OOOO O C O O in in in in
OOOO OOOO tn in in tn
^ K Im © ■M U
•H 4J
O O O O
0 H H H
© 0 0 0 OOHO 0 0 O H OOHO
© H H 0 OOHO OOHO OOHO
H H H H H H H O H H O H HHHO
_t> jL ■H 3
OHOH OHOH OHOH OHOH
HHHO H O O H H O H O H O O H
OHOH OHOH OHOH OHOH
H H O H O H H O OHOH O H H O
•O
O
< O © H H Q O O H H bQOOHH O < O O H H
HHHO OHOH O H H O OHOH
OOHO OHOH O H H O OHOH
ff} *
E
-0 3
tn to
4J
•H ß ß 3
II II IH U 01 * V V -H O > > at u io Q W P-O Q.JC
-rt-H 0
jJ 0 < « 0 >i »4J c -H c 4J
co to in tn
0)
I'D
4J *0 T) 4J tn 4-14-1 to ß
tn to in tn ß ß c ß
0
0>-H -H D> O OOiO H 0 OH
t3
pa
a
0 O 4J 4J 4-1 U
■- (0 1 O • V
•H ß O 0)Jß-H M 5
•a(A ON H
H H H H
H H H H
ß C ß ß
ß ß ß ß
O O H CQ O O H D O O H W O O H
dPdPOOOOdPOOOOdPdPt
H H H H
ß ß ß ß
H H dP H H H H dP
oi in in 10 ß ß ß ß OOOO OOOO in in in in
*, •
E -rl XJ
IM
O
35 ™
CQ
O H H O O H H H O H H H O H H H OHOH OHOH OHOH OHOH
H
< O a © a, Q © O •< ©
EH
dPdPooood
ON
O H H o H H O H H
O iH rH
255
ADDSUB4
J3 -u U C n Of
c > 01 VD
6J3
U
-H ^
ü E
I1
>-C
43 o\
^ "„ (Ö £< -^ nj
ua
0 0 .0 JJ
3™
p ^ 4-> ja
u 3 ^iS ^S 4J -o
«■
j
c
.! —M
» ■«"ID u'
■
0, 4J Or
J
01 u
«i o
9
ZH«!
U tu £ E •H
c
>>
V> VD J3..C ■rvn -^ -v.
u u
■H (0 JJ«W Hl 4J
si
OS
JJ
d a> ^ ■
g
M • JJ ^
■
c
3-U
U-H U AJ
01 C
0 S96 3 -P1Ä x
"'S
5 n^>
u. Ü «
"«' .. (N 4J rTJTJ *4 Hi d)»W .. E •n I" 4J JJ J3 ** -9 to ta o> ffl O U U M u u h 01 (U u Ol J e d ß ß 3 O OJ 01 o u OCSMfc
* u u i c c
I
I
I
I
a» p.
nj H U« U N
U (N K N ■i-l •■ ■»* ID jjiin M •• 0 u> »H
.rtß(N.. o u
£ ra
o «>
3H
*"
u
'S 0 ^ a ^" u* m ^•n
5
u w
ss
M
•^ VO A: in M •■
E 0>
aj
oa
*"
T3 QJ J3
m er»
0s
CT»
4J
c
0) E ■v. in •H w U
iH
m 00 r-l «3 rH
- nj
u * o
I
0» c
£ i t fri UTJ
o
u s z e
< 0
•
- |H WÖ E-" W JJ w UWE
u w o:
u. u-
** U
c
U
GS JJ C 3 -H O
JJ >4 N >i u JJ O
tH W
jq
H
-H SH H
e e «s *s
0) -H
0
.. e
•«T
-•rl
,Q fl) QJ
JJ
Tl
*-i 3 3
w en
it k n]
a) c v a£ H
ß Ü £ H U D> P.J3 (0 J3
c
OTJ
V
U (A W
ß ß -o o
moo Emm
w in in w C d C ß O O O o
oooo
r- O
.. o o o o
O O O H O O r-4 H OHHH HHrtH
N O O
c*
HHHO rl H O f* HOHH dBOH#OriHH
u«
1
1
c*
o
Ix
TT U
O H >* O H
C
fO © fs
fa
-*> >
v> J- 0) ■n JJ
W1 M
U-H
>l
£
| in • oo
•^ U
> V 3
01 J= 0 Q 3 nj3 X H
n^>
u 3
ini^i/iji
CJ
e
2 > 0 3 «3 E J I) 43 0 O
S
(A
>
0)
O H
>
•B
u-l
u oi at w w u
SHH
>
•H
ß (0
M JJ 3 C XJ aj
Ä
c
0J Ol
^f • M
4J 0 TJTJ Oj 3 u
HHririHHHO t-trHrHHrHHOr-l i-tr-tr-tr-(i-IOH«H riHHHOrlHH i-Hr-trHOHr-tHiH rtHDHHHHH >
JJ
JJ >J N >i H
OOOOOOOO OOOOOOOO
CO U
*©
+■
a>
•H
>iW H MM« M
M
H
on t) ß
oo
e c *■»
»-I —
ffl -H
wntnwwwcnw
-H
HS M „
H 0*0 H-rt JJ
tn w
> VO
OJ-nX X 5 M ^>
JJ
JJ 01 -H M JJ
P c
JJ OJ
01 o JJ„ •H .
•8",
S
u .
C
^ e 0 J3 e
u c u
w
l
oo1 e
Ja'
f>
c*
u.
UIC OJ 0)-H ■n I ■• a co oo O U U
U 01 X
at r-
UT->
-W H
Oio
0) H •a fi •n 0
Jim M •■ 0 «3 Si*
U IN at oi
E 0)
X in
Ä ifl U
0 vo JH
"5
I•a1"
'
at xi
ai ß
u d
rt si
c 6 •
o rH
OS
JJ
B at ■*
in in
3 .
E
•
m— U 0
O AJ
0) r4
u ai o
*■**>•*
MHO
If
u a fS
n Is.
H -H *J Qi 0» W 3
>
o
XI
H
a>
■H JJ
r-4 3 3
PJ
0)
ß 3 ■H O
A
— .. .. **
>,u *J
xi at at
JJ
0) »TI
•n 01
O
at u
i
tn 01
JM
U Q)
II
M *
e v axi
o ß 0 0>NTJ XI k d u & a xi atts
ä
id .a
a>
•o
..~ HHrl
O O O O
33
rH O O O rH O O O
O i-H rH O O O >« O i-i O .-t O O O t-l O O H O #OH#OOOri
iJ in
*o
~ 0) 0 , N at >i u
*J
ri w d D at
^*- >
tn
-n1 at
oooo oooo in in m in
>
0! S E x> h J U T1X1 at £ X S M
ü
M
-o o at o o Emm
in w
d
IÜ U-H •H Ol Oi o
3 Si 0 Q XI-OJ3 X
at
So
TI
SU H
ID H U
a a; w
S 1 ! f u-0 ■B
at •o
0) ß d «
• 0
a
- >.
1 ^p OX) E 0 TI -o u at at i-> AJ w i m (11 o V M u u u a: at at VI tn T1T1 c B 3 0
c u 01 at mti
ffi
>^ i
at n -o 3
i
i
i I
1
.259
ADDINC4 M M
u o O AJ
3 a
vo OJC
«
AJ M v. Q)
E
b
•rt •>
(A ■H JS 0
•O m »0 o (0 H
ÜJ
u m
u w e ft
Ü 44 M M a) AJ Q) ß R ■H AJ
h
-H •0 CN •0 CN «I ■■
£
c
■
»O
H
a>TJ M rt 3'
«> "
Ü AJ 3-H
«> CN
AJ ß
O
^•o
u HI
O O AJ AJ AJ ß
g0 g0*0I
OS
*> ^ .~
m ro ro — —— M M M 0 00Ü 4J AJ U U O 0» (LI 01 >
41 — •"»
W
2-0
j « < V 3 vo E *J ■HJjOfl M-I-1J3 X
V V
- V 16 AlCV CJ'H Ji 0> "O M •|-.*0 O Art»
o
VO 01 H HUH H3Ä |AJ (2
•0 m AJ rt W
T) ai AJ rt M
M iw •■ E ci rt U M M o
a> o w 3 B
•H 3
ITS!
• AJ o 01 U H
>, >,0I 01 to M M 01 AJ
m a> ß a s 0 at a» o M a> O O W ft
rt rt MM J3 J3 •H -H H H
5-H ** »o --■
1 *o ^ 1 rt to
-H 1 Al 0» U 0} M 3 rt
-H
AJ f> M z
e 0 •n Q
t
t; 1 1u •H Ü O-H Ol-H -H C» H OOH
>
Tl 1 1*0 jJ « TJ AJ AJ AJ in
£ 0) JJ
1
_ ft
JJ M -H U JD fl) 01 AJ •-4 P 3
8
AJ C •H CB3 •H-H O
x U
e
4-1 0
H < 0 ■ HUV - |H U*0 fc< C W AJ W H W M £ Tl H ■ X XI
I
>
a
•H Tl *0 Q
H u*o
X
>, ^
a
»*) — M 0 AJ ü 01
> >,
H ^--H EHH
W ■H
•0*0
r
ai -v *-* CN
fH O O
a>
QJ
JZ (Q
DQ
ft
^,' in in
A! 0) «3 M O II 11 c; 3 *to CU V a AJ ■H 0 01 M rt m 0 tt) s: O » Q n ax: T, a; U-H*W IH a 01 •O AJ 0) Oi-OÄ - (T ■H a 0 Ol-H c £ HMOJ 0i*0 ~*T1 0 c C U 01 01 01 rt ja
e •e
■
E
0000 0000 in in in in
OOOO OOOO in in in in
00 00 in in
OOOO 0000 in in in in
OHrlO OHHH O iH H H OHHH
OOOO OOHO OOOH OOHO HHH O HOOH HOHO HOOH HHHO OHOH OHHO OHOH
HO OO OO OO
OOOH OOHH OHHH HHHH
O O O O
H H H H
O O O O
H H H H
O Q O ft Q O O < O
O O O O
H H H H
H H H H
Q CQ
3 > V 3
vo E J
■* J3 0 Q ^-nj3 X L, M -^.>
w
^ ß .. U O
O-H *0
OH OOH £OH HOH
HHHO HHOH HOHH OHHH
fS
»«5
W fa
dP*>OOOOdPOOOOdPdPHHdPH
1r4r4 U.
•J U ß 9 ß ot
a» *w •• AJ E rt 01 rt MOM (U M Ol ß 3 O tt) O U
o w a
H ** w •■ |UH 0) Tl ß J 0) AJ-H
ß -H
tu w *o rr"
•H
••Oft!
ot rt o-* a
OJ1 ft AJ O K a >i M S-H AJ I O ■H 1 a 03 W AJ H W Ö J D 01 [H
260
PLUS3
*? t/1 «OS H M O H 3 H l*J E"* UUrf ■H 3 (2 Ol M < 0 4J J H Mt)
- - 1
a) AJ*O 0) UMJJU 0) O « 3 ■rt 3 ■ 4J 01 O
H
o W a X
M M 01 -U «J
V) ■H \D W J= -n
>
Ul
s: b
O MJ3
(1)
fc IM
M
1
ai
I" •o
4J
c
1
u ja
-v 01
** ft*
-H
ai .G
u
3 01 0
c 0) ** B •
o
O JJ
i§ OTl
3 W M 3 u
0 4J AJ u 01 0)
U O O -U
>>
AJ U
u m «j >
is
> I It) U-H •H Ol Dl 0
•o
H-H AJ ifl
=3 l-l
ft.
s a
|H WO EH H
• £
MW Cd
a 3 ti.
u,
J3 0IO) •H n in H33
tn 3 H
0J
C 3 -rt 0
3
— .. ..
>i M AJ 0 P.
c
OJ M
3 H - U ""•0
>1
o w + w
£
tf)
0 0) 0) 4J -H C O
0) ..
c
0 > 11 U i0 V ft.3
H
Ü O CO O J3
u
o
X! vo 3 J3 : M
0} E 0 v.
IU o ■rl •H T7I Ö) o
3 «J D >
0) R
Tl 3
o
p
£
u
J3
VI
^*
rr, VI 3 O.
3 0) 0)
u
oi tn a» ai ja 3 > u>
j- ID -niJ fc-rl 1> 3
fij
oa
am
AS
(Np
> U U (N U O
Ü O 0 U 0 H •H C C O X ft
iJ 4J AJ *J 4J O
cceecu
O V 91 V t1 CCCfiCW
o o o o o s
u U 3 o
m&&3 o o o o o te
u Ol o u
W ft
4J > .c E-p QJ
at M Oi (Ö rH
JJ
U --H in w tn ft
JS 6. •n U U K
3
ö rj
E «>•• •^ .* in
u •• 0 us SrH
•n in
in
■o
n k* 0 0 v
- 0
*J tf
o • u H «»-H
en
at
f< v> Ol UHO SHH S I I ti U"0 H-HAJ B: tn M
1/1
i/5
S £
> O
u
s. 1
rt o •
b fa H33
tn 13 o o in
tn C o o in
to c o o in
w ß o o in
to C o o in
in C o o in
to C o o in
tn ß o o m
W
v>
rH u IH »flf
M E"< >»H H M W Cd BH< M ja m OJ
to
OJ
4J >* N 4J O
.c at Tl JJ Jl u -H 3 ^ u c > 0) 3 «> E hJ )£OQ
ai
>>
I
in — U 0 AJ U OJ
3 4J U (D 4J *H .C U M
— >i « (A II ID C V u-H ß O Ol N -H H 0 Ol Qnfl OJ
«J.Q
0J -
u 01 O > M ffl a,si at tJ J3 C 0> "0
e Oi
s e
E
E H o
•H i-f rH 4J O O T-i H ■• rH .H i-t H
r-l O O O H O O i-l O O O iH .H O H O O O O t-( O rH O H O O |HOH O O «H O 1-4 O O iH dPOHdPOOOHOH N O r-(
VI C*
U.
O i-l r-t H O H O O r-t H O O OHHOHH OOHOHH © O O O O H O O O t-4 O O
3 B
•• •• — C >T « .. ■ 0Ä E JJ in O u tn *0 "0 V< 0, 3 QJ OJ*W " .n G JJ AJ e O -i-l ffl CO flJ 11 0 E u U U u - •■ tu at u oi ymccso B tn ai QJ o M * 3 Ü U W ft > ß
A»
U C >
"iJ U-H § E*
^
m w IN a
rL.
Xi (U ■p U >H (Ö
M 3 CN H IN
ft »
^. \D
§ .
U •■ 0 *& 3H
JJ
■•nfr
1"
•H (U
ti«fl cO
111 Al -» 01 *]• &. 01 -~Tl
s*t .c o Ü u
■0 0).fl ^ 0) > JJ. S
•Ü.E O Q •o
§
> c
sc
M «*l 0 c 0
B
Tj"*Ü M
*w •• E u n u n u Di 3 o o u W ft
0 0
c c o o
C 0
r
UJ
02 K
6 J
t> « in TJ J3 o Q
■r\S! X
*!
co aw
6 a 9.
03 a;
■nU
3 •« .— ,-
w«
tlUUUil ■UO ß c c a G
0! at JJ JJ dig n ^ a) a? c c aj ai Ü O
n at
ri s
-H m •• X,cs ß .. I in o AJ tn 3 O oi rH . M*J
-^>U co>
^ u u o
P
+
>^ >
3 JJ m Ü t) in It u ai QJ ß V Q£ H 4-> u •H c n c Ä H u OJ Ü Di ftJQ atTJ
mx)
i Wl
9
a n «
J3ÖO S >
.. -
jj in
oJ
(J CN "0 m m tit am_i .. E -n3 AJ AJ to Qi n u u u at >H CT gin ö -. „ e 3 o S (N OJ Of O M - "" Ü W ft
XJ . , ^ w in ^ I« "3»
I IU
O-H ■H Dl 010
S ■r»X H^
I
S u
s?.
ai > > a> 3 v> E J
i SH
C
a>^l
u a
_,
u
-
0 JJ 4J U1
w
13 h
gg
n 3
3H
00 o (N TH H 1
25
m
« in O-H e*
q 4-1 ■» — E-i I in Q tt) W TJ (N 0J AJ in t oj H m 3 tf -H O "H
CO H J EH
pi
c < at ao« 2 >H i. i H rf 4 -H JJ
1
l
a c2 ft -H i i CO W JJ i H i in ö
i j i Dm
in r; tn 3
< 0) in CD CN ■• 1 01 u in 3 U CN -H OJ w a ■n 3 ~Xl-H-K 0 tt U
rH
-a ""-0
c
at
bfMN
>^ i -co i
0, 01
263
MINUS25
?lC en •rt tn Fi-H in E-« CN w u & 3 a 1
U AJ 4-1
H k
> 1/3
s r»s b.
V) w a c
E 1** C*
u.
V 0) 0) C M
«Sri
m
KB
WOh W AJ H
tn 3
C 3
• a
•H
H
4J
i)>iM >i H
*J 0 -H a AJ
c a;
oooooooo ininminininmin
O in ft oXn 3 e E in
OrHHi-iOOHH OOt-ti-IOrHOrH OOOHOOrHO Ofli-IHi-trHi-t© O rH O O i-4 O O OOOTHi~*OrHi-(
q Ä O O
41
--
01 .. — w O > to ii M m 0) ß V Q,£ AJ u-H ai -H C O Ol N »O JQ 3 t-> u 0)
u oi aja MOI 01 U Ol C 3 O S tn ai 01 0 h w 3 0 UU1P
* &2 oi
>
vo d£ o*n
: u 4> 0)
tW H u w a
oo oo tn in
§ M
E -0-0 q> Tf
PQ CQ -■^ -v.
u u O 0 U JJ
c c U 0)
E E
o1
-n*n
H ft 0) 3 vo E >J 3JJOQ
>■
a. o e 1/3
-
fa
sfa
U
W M H •
ft 0
>.td
4J < N >i M
U u
00
-H
J3 0)
*H ft 4J
CO O
Ä
-
0) u 3
< —
K •
U 01 o >
8
to to in in
NOOOOO in m in in in O U
! 0 OiNl I M (U 1 i ftA (
rH rH rH i-l
*0 *0 "0 *0 ■»J u JJ 4-i J-) tn
o o oo o
< ~~
0> Dt 01 0> C 0 0 0 0.H
■* M ^ >
>«JOB — — * Oi
e
B
>>
II
o o
■C J3
oS a jq ^ oi
c JJ — 0 U 4J
o c
0
U O
&
u 3
JJtJ
>
W JJ Id in
CA
>.a
e\ » «s fS fe fe
M W
Ä 0)
»to U
-w
- 3
Q. 0
03 O
JJ < N >i u JJ
OOOOT) TJ *d XJ n CO Cl o w
T
>,
u 3
< — w 10 tU C O-H
:
M
< 01 — U tU JJ 0 > U II H « 0) V &£ JJ 01 •H R o o> N TJ ja XI -H t-4 01 C U O) &J2 01 Tt
1
O rH H O O "OriHOO O H PH O O N O H H O O Q r-t X X O r-4 H X « O t-C CQ
O O O r-t iH ((OOOriH O O O rH .H dP O O O f-t H
'S
.C
0 a
ß ^> M Sh on s < u > oi 3
ra-c ft) HJ ■• JJ JJ (0
u u
0 J
(!.
R 3 0
a ON
«UOUBi U.
fa
W
i
'
l
I
V U3
0* 3 E J J3 X
■n *
>
VO
QTS cn •H
ccccS ShSo •a
^>
rH
a o
4J -H JJ
> a. 1 *-J u e
0 0 O 0 0 JJ jj JJ JJ JJ C
& •
rH
§ 01 EH 0
H
tu
.
ai
H Si 0) tn ^ V
3 >i *-• U -H
JJ
> £ % X! OO •nJ3 X
uo
H ■ < 6in I
.. o
R
o
JJ JJ
R R R R 3 ■H -rt -n -H C
—. > ft ^
BAU dl (-1 JJ H u~
T3
00 o\
JJ
a
(0 jq u "0 N
U 3 "0 01 (fl — 01 •n I« JJ .Q ^3* *f CO 0 & 0- U .0001 ^ in tn R
Hi tu ••
*-•c
M 0) R ft) E^i^ ü C5 > a a i o o I i tn in i
fi
oi ra
U In 3 0 M
^ Dl O U ft
266
P0S1 >!ü U U 0 0
»»
££ -CO V 01
*f «f
m a M M O 0 JJ JJ
cs c