Design classification based on system/package type: .... To enable dynamic voltage drop vectorless analysis ... True tim
ECE260B – CSE241A Winter 2007 Tapeout
Website: http://vlsicad.ucsd.edu/courses/ece260b-w07
ECE 260B – CSE 241A Tapeout 1
Sorin Dobre, Qualcomm
Tapeout definition
What is the definition of the tapeout ? z
There is no standard definition for the “tapeout” : - Generally speaking the “tapeout” is associated with the final verification tasks performed before the GDSII/OASIS design database is shipped to the foundry.
What are the minimum requirements for a design database to be tapeout ? z
From the foundry prospective: - Can be manufactured : DRC correct - To be functional in the process window.
z
From the design house prospective: - The design to be functional (in spec) across PVT - Can be manufactured: DRC, LVS correct
ECE 260B – CSE 241A Tapeout 2
Sorin Dobre, Qualcomm
Tapeout definition
Tapeout classification based on design type: z
Digital tapeout
z
Analog (Mix-Signal/RF) tapeout
z
System on chip: Digital +Analog RF
z
MEMS (Micro-Electro-Mechanical-Systems)
Design classification based on system/package type: z
System on package (SOP)
z
Package on package (POP)
z
Wirebond package
z
Flip-chip package
ECE 260B – CSE 241A Tapeout 3
Sorin Dobre, Qualcomm
Tapeout implementation tasks
Tapeout implementation tasks: z
Chip assembly
z
Seal ring generation
z
Chip ID/Revision ID/Hardware version generation (if necessary)
z
GDSII data prep: -
Redundant via insertion (if necessary) Alignment markers insertion Dummy fill generation (if necessary) OPC shape enhancer generation (if necessary)
Post-tapeout database management tasks: z
Database archiving
z
Quality metrics generation reports
z
Statistics reports generation
ECE 260B – CSE 241A Tapeout 4
Sorin Dobre, Qualcomm
Tapeout implementation tasks
Seal ring insertion: z
All the mask layers used in the design are in the seal ring
ECE 260B – CSE 241A Tapeout 5
Sorin Dobre, Qualcomm
Tapeout verification tasks
Digital tapeout verification tasks: z
Equivalence checking signoff
z
Timing/Signal integrity signoff
z
Power signoff
z
Voltage drop signoff
z
Physical verification signoff
z
EMI (Electromagnetic Interference) sign-off for SOC/SOP
z
Yield signoff (Design for manufacturing – DFM)
Analog tapeout verification tasks: z
Analog functional signoff
z
Physical verification signoff
z
Noise signoff
z
Yield signoff
ECE 260B – CSE 241A Tapeout 6
Sorin Dobre, Qualcomm
Equivalence checking signoff
For a digital design we need to verify that the final gate level netlist (verilog, vhdl, cdl, mix-netlist) has the same functionality as the source RTL (vhdl, verilog) netlist.
Multiple types of checks must be performed: z
Equivalence checking
z
Structural checks
z
Cross clock domain checks (CDC)
z
Cross power domain checks
z
DFT insertion checks
The equivalence checks can be performed: z
Bottom up flow: HM’s -> Top
z
Top: fully hierarchical RTL Netlist
ECE 260B – CSE 241A Tapeout 7
Gate level Final Netlist Sorin Dobre, Qualcomm
Timing/signal integrity signoff
Based on STA + SI (Crosstalk, Glitch) tools Two different methodologies: z
Corner based signoff: - Across PVT guaranty 100% yield for pre-defined performance
z
Speed binning based signoff: - Guaranty functionality across PVT - The parts are binned for performance across process window
Functional corners for signoff: z
Hold time (min delay) : Guaranty functionality
z
Setup time (max delay) : Guaranty performance
Corner based timing signoff: z
Corners + OCV
z
SSTA: Not well defined production flow.
ECE 260B – CSE 241A Tapeout 8
Sorin Dobre, Qualcomm
Timing/signal integrity signoff
Corner definition: z
Process corners: - Front end of the line: FF, TT,SS - Back end of the line: Cw, RCw, Nom, Cb, RCb
z
Temperature: -40C, 25C, 125C
z
Voltage: - Min voltage - Nominal voltages: V1, V2, V3, … - Max voltage
Modes for signoff: z
Functional 1, Functional 2, …
z
Scan 1, Scan2, …
Modern STA tools perform MM-MC analysis. ECE 260B – CSE 241A Tapeout 9
Sorin Dobre, Qualcomm
Timing/signal integrity signoff
The results of the STA analysis are highly dependent on: z
Timing constraints (SDC as an industry standard)
z
Corner definition
z
Library modeling
z
SPEF, BEOL RC parasitics
z
Crosstalk/Noise engine and tool settings (filters)
z
Voltage drop induced delay
Sign off flow z
Flat - Flat SPEF. Flat netlist. One complete SDC.
z
Hierarchical : - ETM models (Extracted timing models) - ILM models (Interface logic models)
ECE 260B – CSE 241A Tapeout 10
Sorin Dobre, Qualcomm
Power signoff
In modern UDSM designs the power envelope is the limiting factor for performance
Power: z z
Active power: K1 X CV²f Leakage power: - F{P,V,T}, - Multiple components: Sub-threshold, GIDL, DIBL, Gate leakage
Power signoff must be performed: z z z
To validate the active power budgeting To enable dynamic voltage drop vectorless analysis To guaranty across PVT standby time
Different types of power analysis: z z z
Vectorless active power analysis: average power VCD based analysis: average power True time VCD analysis : instantaneous power
ECE 260B – CSE 241A Tapeout 11
Sorin Dobre, Qualcomm
Power signoff
Power analysis: z
Performed for all the timing corners
z
Performed for the power corners
Shares the timing constraints with the STA signoff Multi power modes, multi corner analysis: z
Validate the clock gating implementation
z
Validate the system power modes
Active power prediction correlates well with silicon data. Leakage power prediction must be performed across PVT. The bounds of the leakage power (min, max), correlates with the silicon data, only if the corner spice models and the silicon data correlate. For signoff the nominal process corner is used as reference. ECE 260B – CSE 241A Tapeout 12
Sorin Dobre, Qualcomm
Voltage drop signoff
Multiple types of voltage drop analysis: z
Static IR drop
z
EM (electromigration) signoff
z
Dynamic IR drop: - Vectorless dynamic IR drop - VCD dynamic IR drop - True time VCD dynamic IR
Voltage drop signoff with RLC package information. For low power designs: z
Multi voltage island analysis
z
Dynamic, transient rush current analysis
Multi mode voltage drop signoff: z
Functional modes (case analysis)
z
Scan mode
ECE 260B – CSE 241A Tapeout 13
Sorin Dobre, Qualcomm
Voltage drop signoff
Two types of voltage drop failures: z
Hard failure: VDD-VSS < min(VDD-VSS)spec
z
Soft failure: - Voltage drop induced skew variability - Voltage drop induced delay
EM power mesh failure (over time, burn in) Package induced voltage drop failure For RF designs and Digital-RF/Mixed signal SOC’s we can have package coupled with substrate noise induced functional failure.
Dynamic voltage scaling functionality must be validated during voltage drop signoff for low power designs which employ this design technique. ECE 260B – CSE 241A Tapeout 14
Sorin Dobre, Qualcomm
Voltage drop signoff
Multi power domain design in 65nm (DEF view)
ECE 260B – CSE 241A Tapeout 15
Sorin Dobre, Qualcomm
Voltage drop signoff
Static IR drop voltage drop map: 8mV max static voltage drop
ECE 260B – CSE 241A Tapeout 16
Sorin Dobre, Qualcomm
Voltage drop signoff
P/G mesh total resistance instance map (VDD, GND)
ECE 260B – CSE 241A Tapeout 17
Sorin Dobre, Qualcomm
Voltage drop signoff
Instance power map.
ECE 260B – CSE 241A Tapeout 18
Sorin Dobre, Qualcomm
Voltage drop signoff
Voltage drop static IR histogram
ECE 260B – CSE 241A Tapeout 19
Sorin Dobre, Qualcomm
Voltage drop signoff
Dynamic IR: worst voltage drop over tw. without package data z
40mV max average voltage drop
ECE 260B – CSE 241A Tapeout 20
Sorin Dobre, Qualcomm
Voltage drop signoff
Dynamic IR: worst voltage drop over tw. with package data z
50mV max average voltage drop
ECE 260B – CSE 241A Tapeout 21
Sorin Dobre, Qualcomm
Voltage drop signoff
Voltage drop dynamic IR histogram (no package)
ECE 260B – CSE 241A Tapeout 22
Sorin Dobre, Qualcomm
Voltage drop signoff
Total instantaneous current without package data: z
Vddcx_1, vddcx_2, vssx_0
ECE 260B – CSE 241A Tapeout 23
Sorin Dobre, Qualcomm
Voltage drop signoff
Total instantaneous current with package data: z
Vddcx_1, vddcx_2, vssx_0
ECE 260B – CSE 241A Tapeout 24
Sorin Dobre, Qualcomm
Physical verification signoff
Multiple physical verification tasks must be performed to guaranty the manufacturability and functionality of a design: z
DRC (design rule checks)
z
LVS (layout versus schematic/netlist)
z
ERC (electrical rule checks)
z
Softcheck connection verification
z
Compare (XOR)
z
Mask layers integrity checks.
To transfer the database to the Foundry the database must be encrypted (PGP).
Foundry will perform after receiving the encrypted db: z
DRC
z
Mask db. integrity check.
ECE 260B – CSE 241A Tapeout 25
Sorin Dobre, Qualcomm
Physical verification signoff
DRC verification: z
Top level DRC deck provided by the foundry: -
Check all the core rules Check all the Pad/ESD rules Check density/slotting rules Check the seal ring rules
z
Binary pass/fail decision
z
Distributed CPU runs. Parallel runs grouped per set of layers.
z
Requires waiver methodology: - Very seldom the top level DRC is 100% clean. - The IP’s were developed 6 months before the tapeout and a different DRC deck was used. - Some rules are not coded properly (false errors) - Some rules applies only for specific IP’s (bit cells) - For density rules some errors are marginal.
ECE 260B – CSE 241A Tapeout 26
Sorin Dobre, Qualcomm
Physical verification signoff
DRC verification: z
DRC verification requires consistency: - Same errors flagged in the design side must be flagged in the foundry side. - All the waivers MUST be approved by the foundry. - Has a direct impact in the tapeout cycle (high visibility). - The foundry data-prep and mask making process will not start until database is DRC “clean”.
z
The DRC results MUST be tool independent and database hierarchy independent.
LVS verification: z
Is performed only in the design side. The netlist is not transferred to the foundry.
z
Transistor level verification which will guaranty that the GDSII database represents the design netlist.
z
Mandatory step before the database is hand off to the foundry.
ECE 260B – CSE 241A Tapeout 27
Sorin Dobre, Qualcomm
Physical verification signoff
LVS verification: z
z
Multiple step process: -
Netlist translation: – Gate level physical verilog to transistor level cdl or spice.
-
Layout db. translation to GDSII/CIF/OASIS. Crosscheck GDSII vs. CDL Netlist using design house LVS deck.
Must checks for: -
Correct connectivity. Catastrophic connectivity failures: Shorts, opens Device property matching/miss-matching Device property types. LVS MUST be clean (no waivers)
z
Hierarchical LVS is the only option to perform LVS verification for databases with hundred of millions of devices in UDSM process nodes (0.13u ->90nm ->65nm ->45nm ->32nm).
z
Database hierarchy and the quality of the LVS deck, has a major impact in the running time and the cycle time for LVS database debugging.
ECE 260B – CSE 241A Tapeout 28
Sorin Dobre, Qualcomm
Physical verification signoff
ERC (electrical rule checking) z
Performs structural electrical rule verification using the GDSII database
z
Very important for low power designs which employs multiple voltage domains. Level shifter verification and cross power domain checks are performed during ERC.
z
Very slow due to the fact that the connectivity is extracted flat.
z
The only check which will guaranty for designs implemented in double gate and triple gate process with multi voltage domains the reliability of the product.
z
Checks for: -
Devices connected between power and ground Devices connected at the wrong power domain Shorts, opens Latch-up rules Wrong well connections. Incorrect substrate isolations
ECE 260B – CSE 241A Tapeout 29
Sorin Dobre, Qualcomm
Physical verification signoff
Softcheck verifications: z
Basic verification which will check that no power/ground connection is done STANDALONE trough the substrate (nwell/pwell).
z
All the P/G connection must be propagated from the P/G pads (bumps) trough the metal power mesh to the devices.
Compare verification: z
XOR verification is performed between the design GDSII database used during LVS and the foundry GDSII database used during DRC. The two databases may be different because different CAD layers are used during design process for device identification, which are not supported by the foundry . Different map files are used to stream out the LVS GDSII and the DRC GDSII database. However only the DRC GDSII database is send to the foundry.
Mask layers integrity check, verifies that only the “expected” mask layers are in the database which is send to the foundry. ECE 260B – CSE 241A Tapeout 30
Sorin Dobre, Qualcomm
Yield signoff
Performed mainly by the fabless, fablite design houses. Not a standard verification flow in the industry. Perform the following analysis: z
CAA analysis at the chip level. (critical area analysis)
z
Litho simulation and verification across process window
z
CMP analysis. (Chemical Mechanical Planarization)
z
Parametric yield analysis (SSTA)
Build knowledge database. Perform silicon to design correlation post tapeout when the silicon is coming back from the foundry.
ECE 260B – CSE 241A Tapeout 31
Sorin Dobre, Qualcomm
EMI signoff
Parallel process with the tapeout verification. Perform EMI analysis at the system level considering the power model of the silicon die, the package RLC model and the board RLC model.
Required for wireless and high speed applications. Time consuming process which requires good understanding of the overall system behavior.
Drives changes in the: z
Clock frequency planning
z
Clock tree implementation
z
PG mesh implementation
z
Package design
z
Board design
ECE 260B – CSE 241A Tapeout 32
Sorin Dobre, Qualcomm
Analog: Functional signoff
For analog and mixed signal design the functionality of the design relative to a design specification document is validated trough full spice simulation: z
Full chip spice and mix signal spice analysis using the post LPE spice (cdl) netlist is performed: -
Transient analysis Sensitivity analysis Monte Carlo analysis AC analysis PVT corner based analysis Mismatch analysis Spice analysis with RLC substrate information Spice analysis with PG mesh RLC extracted netlist, RLC substrate information and RLC package information.
ECE 260B – CSE 241A Tapeout 33
Sorin Dobre, Qualcomm
Noise/Jitter signoff
Noise analysis must be performed for RF/Mixed signal designs as a mandatory verification step.
Yield loss estimation is performed based on this analysis The analysis requires high level of engineering skills and feedback from the product and test teams.
The noise/jitter signoff verification methodology is build based on experimental results and simulation data.
The methodology is process dependent and is not scalable from one process node to the next one.
ECE 260B – CSE 241A Tapeout 34
Sorin Dobre, Qualcomm
Database management
Mandatory requirement for production designs: z
Required for maintaining database integrity
z
Enables automated design flow development
z
Required for design handoff during design implementation stage
z
Required for revision control
Next revision of a tapeout will use as a starting point the current revision.
The database can be queried to extract valuable design information's.
Used to support product and test deployment and failure analysis.
ECE 260B – CSE 241A Tapeout 35
Sorin Dobre, Qualcomm
Post-tapeout database management
All the verification views must be archived in a relevant format and efficient manner.
An archive design methodology must be employed for design audits and ISO 9000 (2006) compliance purpose.
The archived database has a legal binding value which can be used in courts for Intellectual Property protection.
From the archived tapeout design database, quality design metrics are extracted: z
Number of devices. Type of devices.
z
Area of the die.
z
Standard cell utilization. Memory utilization. I/O utilization.
z
Routing resource utilization per routing layer.
z
Estimated active power per functional modes. Estimated leakage power per functional modes.
ECE 260B – CSE 241A Tapeout 36
Sorin Dobre, Qualcomm
Quality metrics and design statistics
Quality metrics and design statistics: z
Tapeout cycle time
z
Implementation cycle time (per design step/task)
z
Hardware utilization: number of CPU’s/task
z
Software (license) utilization
z
Total amount of hard disk allocated to the project
z
Number of engineers/week/task
z
Number of mask layers
z
Total cost/revision
z
Number of revisions
z
Number of design hardware bugs/revision
z
Design quality metrics: - CMP uniformity. Metal density uniformity. Poly pitch distribution. - DFM score. CAA score. LPC score. Etc - Timing slack distribution. Etc.
ECE 260B – CSE 241A Tapeout 37
Sorin Dobre, Qualcomm
Tapeout completion
ECE 260B – CSE 241A Tapeout 38
Sorin Dobre, Qualcomm